Bài giảng môn Điện tử số - Trần Thúy Hà

pdf 273 trang haiha333 07/01/2022 3331
Bạn đang xem 20 trang mẫu của tài liệu "Bài giảng môn Điện tử số - Trần Thúy Hà", để tải tài liệu gốc về máy bạn click vào nút DOWNLOAD ở trên

Tài liệu đính kèm:

  • pdfbai_giang_mon_dien_tu_so_tran_thuy_ha.pdf

Nội dung text: Bài giảng môn Điện tử số - Trần Thúy Hà

  1. HỌC VIỆN CÔNG NGHỆ BƢU CHÍNH VIỄN THÔNG BÀI GIẢNG MÔN ĐIỆN TỬ SỐ Giảng viên: ThS. Trần Thúy Hà Điện thoại/E-mail: 0912166577 / thuyhadt@gmail.com Bộ môn: Kỹ thuật điện tử- Khoa KTDT1 Học kỳ/Năm biên soạn: Học kỳ 1 năm 2009 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 1
  2. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ . Chƣơng 1: Hệ đếm Chƣơng 2: Đại số Boole và các phƣơng pháp biểu diễn hàm Chƣơng 3: Cổng logic TTL và CMOS Chƣơng 4: Mạch logic tổ hợp Chƣơng 5: Mạch logic tuần tự Chƣơng 6: Mạch phát xung và tạo dạng xung Chƣơng 7: Bộ nhớ bán dẫn. Chƣơng 8: cấu kiện logic khả trình (PLD) Chƣơng 9: Ngôn ngữ mô tả phần cứng (VHDL) www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 2
  3. Headline (Times New RomanBÀI GIẢNG Black MÔN : ĐIỆN 36pt.) TỬ SỐ CHƢƠNG 1. Hệ đếm www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 3
  4. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ . 1.1. Biểu diễn số 1.2. Chuyển đổi cơ số giữa các hệ đếm 1.3. Số nhị phân có dấu 1.4. Dấu phẩy động 1.5. Một số loại mã nhị phân thông dụng www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 4
  5. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 1.1. Biểu diễn số (1) . Nguyên tắc chung . Dùng một số hữu hạn các ký hiệu ghép với nhau theo qui ƣớc về vị trí. Các ký hiệu này thƣờng đƣợc gọi là chữ số. Do đó, ngƣời ta còn gọi hệ đếm là hệ thống số. Số ký hiệu đƣợc dùng là cơ số của hệ ký hiệu là r. . Giá trị biểu diễn của các chữ khác nhau đƣợc phân biệt thông qua trọng số của hệ. Trọng số của một hệ đếm bất kỳ sẽ bằng ri, với i là số nguyên dƣơng hoặc âm. . Tên gọi, số ký hiệu và cơ số của một vài hệ đếm thông dụng Tên hệ đếm Số ký hiệu Cơ số (r) Hệ nhị phân (Binary) 0, 1 2 Hệ bát phân (Octal) 0, 1, 2, 3, 4, 5, 6, 7 8 Hệ thập phân (Decimal) 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 10 Hệ thập lục phân (Hexadecimal) 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F 16 Chú ý: Ngƣời ta cũng có thể gọi hệ đếm theo cơ số của chúng. Ví dụ: Hệ nhị phân = Hệ cơ số 2, Hệ thập phân = Hệ cơ số 10 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 5
  6. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 1.1. Biểu diễn số (2) . Biểu diễn số tổng quát: n 1 1 0 1 m Na n 1 r arara 1 0 1 r a m r m i  ari n1 n 1 1 0 1 m N10 d n 1 10 d 1 10 d 0 10 d 1 10 d m 10 m i  di 10 n1 n 1 1 0 1 m N2 b n 1 2 b2b2b 1 0 1 2 b m 2 m i b2i n1 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 6
  7. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 1.1. Biểu diễn số (3) n 1 0 1 m N8 O n 1 8 O8O 0 1 8 O m 8 m i O8i n1 n 1 0 1 m N16 H n 1 16 H 0 16 H 1 16 H m 16 m i  Hi 16 n1 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 7
  8. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 1.2. Chuyển đổi cơ số giữa các hệ đếm 1.1. Biểu diễn số . 1.2. Chuyển đổi cơ số giữa các hệ đếm 1.3. Số nhị phân có dấu 1.4. Dấu phẩy động 1.5. Một số loại mã nhị phân thông dụng www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 8
  9. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ Chuyển đổi từ hệ cơ số 10 sang các hệ khác QUY TẮC: . Đối với phần nguyên: . Chia liên tiếp phần nguyên của số thập phân cho cơ số của hệ cần chuyển đến, số dƣ sau mỗi lần chia viết đảo ngƣợc trật tự là kết quả cần tìm. . Phép chia dừng lại khi kết quả lần chia cuối cùng bằng 0. . Đối với phần phân số: . Nhân liên tiếp phần phân số của số thập phân với cơ số của hệ cần chuyển đến, phần nguyên thu đƣợc sau mỗi lần nhân, viết tuần tự là kết quả cần tìm. . Phép nhân dừng lại khi phần phân số triệt tiêu. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 9
  10. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ Đổi một biểu diễn trong hệ bất kì sang hệ 10 . Công thức chuyển đổi: n 1 n 2 0 1 m N10 a n 1 r a n 2 r a 0 r a 1 r a m r . Thực hiện lấy tổng vế phải sẽ có kết quả cần tìm. Trong biểu thức trên, ai và r là hệ số và cơ số hệ có biểu diễn. . Ví dụ: Chuyển 1101110.102 sang hệ thập phân 6 5 4 3 2 1 0 1 2 N10 1212021212120212 02 64 32 0 8 4 2 0 0.5 0 110.5 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 10
  11. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ Đổi các số từ hệ nhị phân sang hệ cơ số 8, 16 . Quy tắc: . Vì 8 = 23 và 16 = 24 nên ta chỉ cần dùng một số nhị phân 3 bit là đủ ghi 8 ký hiệu của hệ cơ số 8 và từ nhị phân 4 bit cho hệ cơ số 16. . Do đó, muốn đổi một số nhị phân sang hệ cơ số 8 và 16 ta chia số nhị phân cần đổi, kể từ dấu phân số sang trái và phải thành từng nhóm 3 bit hoặc 4 bit. Sau đó thay các nhóm bit đã phân bằng ký hiệu tƣơng ứng của hệ cần đổi tới. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 11
  12. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 1.3. Số nhị phân có dấu 1.1. Biểu diễn số 1.2. Chuyển đổi cơ số giữa các hệ đếm . 1.3. Số nhị phân có dấu 1.4. Dấu phẩy động 1.5. Một số loại mã nhị phân thông dụng www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 12
  13. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3 phƣơng pháp biểu diễn số nhị phân có dấu . Sử dụng một bit dấu. . Trong phƣơng pháp này ta dùng một bit phụ, đứng trƣớc các bit trị số để biểu diễn dấu, „0‟ chỉ dấu dƣơng (+), „1‟ chỉ dấu âm (-). . Sử dụng phép bù 1. . Giữ nguyên bit dấu và lấy bù 1 các bit trị số (bù 1 bằng đảo của các bit cần đƣợc lấy bù). . Sử dụng phép bù 2 . Là phƣơng pháp phổ biến nhất. Số dƣơng thể hiện bằng số nhị phân không bù (bit dấu bằng 0), còn số âm đƣợc biểu diễn qua bù 2 (bit dấu bằng 1). Bù 2 bằng bù 1 cộng 1. . Có thể biểu diễn số âm theo phƣơng pháp bù 2 xen kẽ: bắt đầu từ bit LSB, dịch về bên trái, giữ nguyên các bit cho đến gặp bit 1 đầu tiên và lấy bù các bit còn lại. Bit dấu giữ nguyên. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 13
  14. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ Cộng và trừ các số theo biểu diễn bit dấu . Phép cộng . Hai số cùng dấu: cộng hai phần trị số với nhau, còn dấu là dấu chung. . Hai số khác dấu và số dương lớn hơn: cộng trị số của số dƣơng với bù 1 của số âm. Bit tràn đƣợc cộng thêm vào kết quả trung gian. Dấu là dấu dƣơng. . Hai số khác dấu và số dương lớn hơn: cộng trị số của số dƣơng với bù 1 của số âm. Lấy bù 1 của tổng trung gian. Dấu là dấu âm. . Phép trừ. . Nếu lƣu ý rằng, - (-) = + thì trình tự thực hiện phép trừ trong trƣờng hợp này cũng giống phép cộng. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 14
  15. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ Cộng và trừ các số theo biểu diễn bù 1 . Phép cộng . Hai số dương: cộng nhƣ cộng nhị phân thông thƣờng, kể cả bit dấu. . Hai số âm: biểu diễn chúng ở dạng bù 1 và cộng nhƣ cộng nhị phân, kể cả bit dấu. Bit tràn cộng vào kết quả. Chú ý, kết quả đƣợc viết dƣới dạng bù 1. . Hai số khác dấu và số dương lớn hơn: cộng số dƣơng với bù 1 của số âm. Bit tràn đƣợc cộng vào kết quả. . Hai số khác dấu và số âm lớn hơn: cộng số dƣơng với bù 1 của số âm. Kết quả không có bit tràn và ở dạng bù 1. . Phép trừ . Để thực hiện phép trừ, ta lấy bù 1 của số trừ, sau đó thực hiện các bƣớc nhƣ phép cộng. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 15
  16. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ Cộng và trừ các số theo biểu diễn bù 2 . Phép cộng . Hai số dương: cộng nhƣ cộng nhị phân thông thƣờng. Kết quả là dƣơng. . Hai số âm: lấy bù 2 cả hai số hạng và cộng, kết quả ở dạng bù 2. . Hai số khác dấu và số dương lớn hơn: lấy số dƣơng cộng với bù 2 của số âm. Kết quả bao gồm cả bit dấu, bit tràn bỏ đi. . Hai số khác dấu và số âm lớn hơn: số dƣơng đƣợc cộng với bù 2 của số âm, kết quả ở dạng bù 2 của số dƣơng tƣơng ứng. Bit dấu là 1. . Phép trừ . Phép trừ hai số có dấu là các trƣờng hợp riêng của phép cộng. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 16
  17. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 1.4. Dấu phẩy động 1.1. Biểu diễn số 1.2. Chuyển đổi cơ số giữa các hệ đếm 1.3. Số nhị phân có dấu . 1.4. Dấu phẩy động 1.5. Một số loại mã nhị phân thông dụng www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 17
  18. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ Biểu diễn theo dấu phẩy động . Gồm hai phần: số mũ E (phần đặc tính) và phần định trị M (trƣờng phân số). E có thể có độ dài từ 5 đến 20 bit, M từ 8 đến 200 bit phụ thuộc vào từng ứng dụng và độ dài từ máy tính. Thông thƣờng dùng 1 số bit để biểu diễn E và các bit còn lại cho M với điều kiện: Ex X 2 Mx 1/ 2 M 1 . E và M có thể đƣợc biểu diễn ở dạng bù 2. Giá trị của chúng đƣợc hiệu chỉnh để đảm bảo mối quan hệ trên đây đƣợc gọi là chuẩn hóa. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 18
  19. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ Các phép tính với biểu diễn dấu phẩy động . Giống nhƣ các phép tính của hàm mũ. Giả sử có hai số theo dấu phẩy động đã chuẩn hóa: Ex Ey thì: X 2 Mx Y 2 My EExy EZ . Nhân: Z X.Y 2 Mx .M y 2 M z EExy Ew . Chia: W X / Y 2 Mx / M y 2 M w . Muốn lấy tổng và hiệu, cần đƣa các số hạng về cùng số mũ, sau đó số mũ của tổng và hiệu sẽ lấy số mũ chung, còn định trị của tổng và hiệu sẽ bằng tổng và hiệu các định trị. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 19
  20. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ Headline• 1.5. Một số (Timesloại mã nhị New phân thông Roman dụng Black 36pt.) 1.1. Biểu diễn số 1.2. Chuyển đổi cơ số giữa các hệ đếm 1.3. Số nhị phân có dấu 1.4. Dấu phẩy động • 1.5. Một số loại mã nhị phân thông dụng www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 20
  21. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ Headline• 1.5. Một số (Timesloại mã nhị New phân thông Roman dụng Black 36pt.) Số Trọng số của mã BCD thập phân 8421 7421 5121 2421 4221 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0000 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0001 2 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0010 3 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0011 4 0 1 0 0 0 1 0 0 0 1 1 1 0 1 0 0 1000 5 0 1 0 1 0 1 0 1 1 0 0 0 1 0 1 1 0111 6 0 1 1 0 0 1 1 0 1 0 0 1 1 1 0 0 1100 7 0 1 1 1 1 0 0 0 1 0 1 0 1 1 0 1 1101 8 1 0 0 0 1 0 0 1 1 0 1 1 1 1 1 0 1110 9 1 0 0 1 1 0 1 0 1 1 1 1 1 1 1 1 1111 Cấu tạo mã BCD với các trọng số khác nhau. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 21
  22. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ Headline• 1.5. Một số (Timesloại mã nhị New phân thông Roman dụng Black 36pt.) Số Số nhị Mã dƣ 3 Mã Mã Gray Mã Mã vòng thập phân phân Gray Dƣ 3 Johnson 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 0 1 0 1 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 2 0 0 1 0 0 1 0 1 0 0 1 1 0 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 1 0 0 3 0 0 1 1 0 1 1 0 0 0 1 0 0 1 0 1 1 1 1 0 0 0 0 0 0 0 0 1 0 0 0 4 0 1 0 0 0 1 1 1 0 1 1 0 0 1 0 0 1 1 1 1 0 0 0 0 0 0 1 0 0 0 0 5 0 1 0 1 1 0 0 0 0 1 1 1 1 1 0 0 1 1 1 1 1 0 0 0 0 1 0 0 0 0 0 6 0 1 1 0 1 0 0 1 0 1 0 1 1 1 0 1 0 1 1 1 1 0 0 0 1 0 0 0 0 0 0 7 0 1 1 1 1 0 1 0 0 1 0 0 1 1 1 1 0 0 1 1 1 0 0 1 0 0 0 0 0 0 0 8 1 0 0 0 1 0 1 1 1 1 0 0 1 1 1 0 0 0 0 1 1 0 1 0 0 0 0 0 0 0 0 9 1 0 0 1 1 1 0 0 1 1 0 1 1 0 1 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 Cấu tạo của một số mã nhị phân thông dụng. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 22
  23. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ Chƣơng 2: Đại số Boole và các phƣơng pháp biểu diễn hàm Chƣơng 1: Hệ đếm • Chƣơng 2: Đại số Boole và các phƣơng pháp biểu diễn hàm Chƣơng 3: Cổng logic TTL và CMOS Chƣơng 4: Mạch logic tổ hợp Chƣơng 5: Mạch logic tuần tự Chƣơng 6: Mạch phát xung và tạo dạng xung Chƣơng 7: Bộ nhớ bán dẫn. Chƣơng 8: cấu kiện logic khả trình (PLD) Chƣơng 9: Ngôn ngữ mô tả phần cứng (VHDL) www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 23
  24. Headline (Times New RomanBÀI GIẢNG Black MÔN : ĐIỆN 36pt.) TỬ SỐ CHƢƠNG 2. ĐẠI SỐ BOOLE www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 24
  25. 2.1. Các định lý BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ . Các định lý cơ bản: Stt Tên gọi Dạng tích Dạng tổng 1 Đồng nhất X.1 = X X + 0 = X 2 Phần tử 0, 1 X.0 = 0 X + 1 = 1 X 3 Bù X.X 0 XX1 4 Bất biến X.X = X X + X = X 1 Z 5 Hấp thụ X + X.Y = X X.(X + Y) = X Y 6 Phủ định đúp X = X 7 Định lý X.Y.Z X Y Z X Y Z X.Y.Z DeMorgan . Các định luật cơ bản: . Hoán vị: X.Y = Y.X, X + Y = Y + X . Kết hợp: X.(Y.Z) = (X.Y).Z, X + (Y + Z) = (X + Y) + Z . Phân phối: X.(Y + Z) = X.Y + X.Z, (X + Y).(X + Z) = X + Y.Z www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 25
  26. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 2.2. Các phƣơng pháp biểu diễn hàm Boole Có 3 phƣơng pháp biểu diễn: . Bảng trạng thái . Bảng các nô (Karnaugh) . Phƣơng pháp đại số www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 26
  27. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 2.2.1. Phƣơng pháp Bảng trạng thái . Liệt kê giá trị (trạng thái) mỗi biến theo từng cột và giá trị m A B C f hàm theo một cột riêng m0 0 0 0 0 (thƣờng là bên phải bảng). m1 0 0 1 0 Bảng trạng thái còn đƣợc gọi m2 0 1 0 0 là bảng sự thật hay bảng chân m3 0 1 1 0 lý. m4 1 0 0 0 m 1 0 1 0 . Đối với hàm n biến sẽ có 2n tổ 5 hợp độc lập. Các tổ hợp này m6 1 1 0 0 m 1 1 1 1 đƣợc kí hiệu bằng chữ mi, với i 7 = 0 † 2n -1 và có tên gọi là các hạng tích hay còn gọi là mintex. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 27
  28. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 2.2.2. Phƣơng pháp Bảng Các nô (Karnaugh) . Tổ chức của bảng Các nô: B 0 1 . Các tổ hợp biến đƣợc viết theo một dòng A (thƣờng là phía trên) và một cột (thƣờng 0 là bên trái) . 1 n . Một hàm logic có n biến sẽ có 2 ô. BC 00 01 11 10 . Mỗi ô thể hiện một hạng tích hay một A hạng tổng, các hạng tích trong hai ô kế 0 cận chỉ khác nhau một biến. 1 CD 00 01 11 10 AB 00 01 11 10 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 28
  29. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 2.2.3. Phƣơng pháp đại số . Có 2 dạng biểu diễn là dạng tuyển (tổng các tích) và dạng hội (tích các tổng). . Dạng tuyển: Mỗi số hạng là một hạng tích hay mintex, thƣờng kí hiệu bằng chữ "mi". . Dạng hội: Mỗi thừa số là hạng tổng hay maxtex, thƣờng đƣợc kí hiệu bằng chữ "Mi". . Nếu trong tất cả mỗi hạng tích hay hạng tổng có đủ mặt các biến, thì dạng tổng các tích hay tích các tổng tƣơng ứng đƣợc gọi là dạng chuẩn. Dạng chuẩn là duy nhất. . Tổng quát, hàm logic n biến có thể biểu diễn chỉ bằng một dạng tổng các tích: 21n f Xn 1 , ,X 0  a i m i i0 hoặc bằng chỉ một dạng tích các tổng: 21n f Xn 1 , ,X 0  a i m i i0 ai chỉ lấy hai giá trị 0 hoặc 1. Đối với một hàm thì mintex và maxtex là bù của nhau. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 29
  30. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 2.3. Các phƣơng pháp rút gọn hàm Có 3 phƣơng pháp rút gọn hàm: . Phƣơng pháp đại số . Bảng Cácnô . Phƣơng pháp Quine Mc. Cluskey www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 30
  31. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 2.3.1. Phƣơng pháp đại số . Dựa vào các định lý đã học để đƣa biểu thức về dạng tối giản. . Ví dụ: Hãy đƣa hàm logic về dạng tối giản: f AB AC BC Áp dụng định lý , , ta có: AA1 X XY X f AB AC BC A A AB ABC AC ABC AB AC Vậy nếu trong tổng các tích, xuất hiện một biến và đảo của biến đó trong hai số hạng khác nhau, các thừa số còn lại trong hai số hạng đó tạo thành thừa số của một số hạng thứ ba thì số hạng thứ ba đó là thừa và có thể bỏ đi. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 31
  32. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 2.3.2. Phƣơng pháp Bảng Các nô (Karnaugh) . Phƣơng pháp này thƣờng đƣợc dùng để rút CD 00 01 11 10 gọn các hàm có số biến không vƣợt quá 5. AB 00 1 1 . Các bƣớc tối thiểu hóa: 01 1 1 . 1. Gộp các ô kế cận có giá trị „1‟ (hoặc 11 1 1 1 1 i „0‟) lại thành từng nhóm 2, 4, , 2 ô. Số 10 1 1 ô trong mỗi nhóm càng lớn kết quả thu f = AB f = C đƣợc càng tối giản. Một ô có thể đƣợc 1 2 gộp nhiều lần trong các nhóm khác nhau. Nếu gộp theo các ô có giá trị „0‟ ta sẽ thu đƣợc biểu thức bù của hàm. . 2. Thay mỗi nhóm bằng một hạng tích mới, trong đó giữ lại các biến giống nhau theo dòng và cột. . 3. Cộng các hạng tích mới lại, ta có hàm đã tối giản (Đối với minterm). www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 32
  33. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 2.3.3. Phƣơng pháp Quine Mc. Cluskey . Phƣơng pháp này có thể tối thiểu hóa đƣợc hàm nhiều biến và có thể tiến hành công việc nhờ máy tính. . Các bƣớc tối thiểu hóa: 1. Lập bảng liệt kê các hạng tích dƣới dạng nhị phân theo từng nhóm với số bit 1 giống nhau và xếp chúng theo số bit 1 tăng dần. 2. Gộp 2 hạng tích của mỗi cặp nhóm chỉ khác nhau 1 bit để tạo các nhóm mới. Trong mỗi nhóm mới, giữ lại các biến giống nhau, biến bỏ đi thay bằng một dấu ngang (-). Lặp lại cho đến khi trong các nhóm tạo thành không còn khả năng gộp nữa. Mỗi lần rút gọn, ta đánh dấu # vào các hạng ghép cặp đƣợc. Các hạng không đánh dấu trong mỗi lần rút gọn sẽ đƣợc tập hợp lại để lựa chọn biểu thức tối giản. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 33
  34. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ Phƣơng pháp Quine Mc. Cluskey (tiếp) . Bƣớc 1: Lập bảng Bảng a Bảng b Hạng tích sắp xếp Nhị phân (ABCD) Rút gọn lần 1 (ABCD) Rút gọn lần thứ 2 (ABCD) 10 1 0 1 0 1 0 1 - # (10,11) 1 1 - - (12,13,14,15) 12 1 1 0 0 1 - 1 0 # (10,14) 1 - 1 - (10,11,14,15) 11 1 0 1 1 1 1 0 - # (12,13) 13 1 1 0 1 1 1 - 0 # (12,14) 14 1 1 1 0 1 - 1 1 # (11,15) 15 1 1 1 1 1 1 - 1 # (13,15) 1 1 1 - # (14,15) . Bƣớc 2: Thực hiện nhóm các hạng tích Ta nhận thấy rằng 4 cột có duy nhất A BCD 10 11 12 13 14 15 một dấu "x" ứng với hai hạng 11 và 1-1-. Do đó, biểu thức tối giản là: 1 1 - - x x x x 1 - 1 - x x x x f A,B,C,D AB AC www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 34
  35. Headline (Times New RomanBÀI GIẢNG Black MÔN : ĐIỆN 36pt.) TỬ SỐ CHƢƠNG 3. CỔNG LOGIC www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 35
  36. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ HeadlineNội dung (Times New Roman Black 36pt.) . Cổng logic cơ bản . Một số cổng ghép thông dụng . Logic dƣơng và logic âm . Các tham số chính . Các họ cổng logic www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 36
  37. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.1.Cổng logic cơ bản: AND, OR, NOT . Cổng AND . Cổng OR . Cổng NOT www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 37
  38. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.1.1. Cổng AND . Hàm ra của cổng AND 2 và nhiều biến vào nhƣ sau: f f(A,B) AB; f f(A,B,C,D, ) A.B.C.D Ký hiệu cổng AND Bảng trạng thái cổng AND 2 lối vào A A 0 & f 0 f B B 0 A B f A B f 0 0 0 L L L A A 0 & 0 1 0 L H L B f B 0 0 f 0 C C 1 0 0 H L L 1 1 1 H H H Chuẩn ANSI Chuẩn IEEE Theo giá trị logic Theo mức logic www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 38
  39. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.1.2. Cổng OR . Hàm ra của cổng OR 2 và nhiều biến vào nhƣ sau: f f(A,B) A B; f f(A,B,C,D, ) A B C D Ký hiệu cổng OR Bảng trạng thái cổng OR 2 lối vào A A 0 >=1 A B f A B f f 0 f 0 B B 0 0 0 L L L 0 1 1 L H H A A 0 >=1 B f B 0 0 f 0 1 0 1 H L H C C 1 1 1 H H H Chuẩn ANSI Chuẩn IEEE Theo giá trị logic Theo mức logic www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 39
  40. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.1.3. Cổng NOT . Hàm ra của cổng NOT: fA Ký hiệu cổng NOT Bảng trạng thái cổng NOT A f A f A f A f 0 1 L H 1 0 H L A f A f Theo giá trị logic Theo mức logic Chuẩn ANSI Chuẩn IEEE A A Dạng xung ra www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 40
  41. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.2. Một số cổng ghép thông dụng . Cổng NAND . Cổng NOR . Cổng khác dấu (XOR) . Cổng đồng dấu (XNOR) www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 41
  42. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.2.1. Cổng NAND . Ghép nối tiếp một cổng AND với một cổng NOT ta đƣợc cổng NAND. A AB f = AB B . Hàm ra của cổng NAND 2 và nhiều biến vào nhƣ sau: f AB f ABCD Ký hiệu cổng NAND Bảng trạng thái cổng NAND 2 lối vào A A 0 & A B f A B f f 0 f B B 0 0 0 1 L L H 0 1 1 L H H A A 0 & B f B 0 0 f 0 1 0 1 H L H C C 1 1 0 H H L Chuẩn ANSI Chuẩn IEEE Theo giá trị logic Theo mức logic www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 42
  43. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.2.2. Cổng NOR . Ghép nối tiếp một cổng OR với một cổng NOT ta đƣợc cổng NOR. A A+B f = A+B B . Hàm ra của cổng NOR 2 và nhiều biến vào nhƣ sau: f A B f A B C D Ký hiệu cổng NOR Bảng trạng thái cổng NOR 2 lối vào A A 0 >=1 A B f A B f f 0 f 0 B B 0 0 1 L L H 0 1 0 L H L A A 0 >=1 B f B 0 0 f 0 1 0 0 H L L C C 1 1 0 H H L Chuẩn ANSI Chuẩn IEEE Theo giá trị logic Theo mức logic www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 43
  44. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.2.3. Cổng XOR - cổng khác dấu . Cổng XOR còn gọi là cổng khác dấu, hay cộng modul 2. A AB B f = AB + AB A B AB . Hàm ra của cổng XOR 2 biến vào nhƣ sau: f AB AB hay f A  B Ký hiệu cổng XOR Bảng trạng thái cổng XOR 2 lối vào A A 0 =1 A B f A B f f 0 f 0 B B 0 0 0 L L L 0 1 1 L H H A A 0 =1 B f B 0 0 f 0 1 0 1 H L H C C 1 1 0 H H L Chuẩn ANSI Chuẩn IEEE Theo giá trị logic Theo mức logic www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 44
  45. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.2.4. Cổng XNOR - cổng đồng dấu . Cổng XNOR còn gọi là cổng đồng dấu. A AB B f = AB + AB A B AB . Hàm ra của cổng XNOR 2 biến vào nhƣ sau: f AB AB hay f A  B A B Ký hiệu cổng XNOR Bảng trạng thái cổng XNOR 2 lối vào A A 0 = A B f A B f f 0 f B B 0 0 0 1 L L H 0 1 0 L H L A A 0 = B f B 0 0 f 0 1 0 0 H L L C C 1 1 1 H H H Chuẩn ANSI Chuẩn IEEE Theo giá trị logic Theo mức logic www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 45
  46. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.2.5. Logic dƣơng và logic âm . Logic dương là logic có điện thế mức cao H luôn lớn hơn điện thế mức thấp L (VH > VL). . Logic âm là đảo của logic dƣơng (VH < VL). . Khái niệm logic âm thƣờng đƣợc dùng để biểu diễn trị các biến. . Logic âm và mức âm của logic là hoàn toàn khác nhau. V H 0 1 1 0 0 1 0 1 1 1 0 0 1 0 L t 0 a) Logic dƣơng với mức dƣơng. V 0 t H 0 1 1 0 0 1 0 1 1 1 0 0 1 0 L b) Logic dƣơng với mức âm. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 46
  47. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.3. Các tham số chính . Mức logic . Độ chống nhiễu . Hệ số ghép tải K . Công suất tiêu thụ . Trễ truyền lan www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 47
  48. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.3.1. Mức logic VRHmax 5v VVHmax VRHmax VVHmax 4,9v VRHmin NH 4v VVHmin 3,5v 3v 2,4v VRHmin NH 2v VVHmin VVLmax 1,5v N 1v L 0,8v VVLmax NL V 0,4v RLmax 0,1v 0v VRLmax Họ TTL Họ CMOS Mức logic là mức điện thế trên đầu vào và đầu ra của cổng tƣơng ứng với logic "1" và logic "0", nó phụ thuộc điện thế nguồn nuôi của cổng (Vcc đối với họ TTL (Transistor Transistor Logic) và Vdd đối với họ MOS (Metal Oxide Semiconductor)). www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 48
  49. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.3.2. Độ chống nhiễu . Độ chống nhiễu (hay độ phòng vệ nhiễu) là mức nhiễu lớn nhất tác động tới lối vào hoặc lối ra của cổng mà chƣa làm thay đổi trạng thái vốn có của nó. VNH VNL VVL V VRH TTL TTL VH TTL TTL V VVL VRH VH VRL Cổng I Cổng II Cổng I Cổng II Tác động nhiễu khi mức ra cao b) Tác động nhiễu khi mức ra thấp VVVVVVRHmin NH VHmin NH VHmin RHmin VVVVVVRLmax NL VLmax NL VLmax RLmax www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 49
  50. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.3.3. Hệ số ghép tải K . Cho biết khả năng nối đƣợc bao nhiêu lối vào tới đầu ra của 1 cổng đã cho. . Hệ số ghép tải phụ thuộc dòng ra (hay dòng phun) của cổng chịu tải và dòng vào (hay dòng hút) của các cổng tải ở cả hai trạng thái H, L. Cổng chịu tải Cổng chịu tải Các cổng tải Các cổng tải H L A A B B I IRH RL a) Mức ra của cổng chịu tải là H b) Mức ra của cổng chịu tải là L IRLmax Kt . Công thức tính hệ số ghép tải: IRL www.ptit.edu.vn GIẢNG VIÊN:; ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 50
  51. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.3.4. Công suất tiêu thụ +Vcc +Vcc ICCH ICCL L H H H H L Hai trạng thái tiêu thụ dòng của cổng logic ICCH - Là dòng tiêu thụ khi đầu ra lấy mức H, ICCL - Là dòng tiêu thụ khi đầu ra lấy mức L. . Theo thống kê, tín hiệu số có tỷ lệ bit H / bit L khoảng 50%. Do đó, dòng tiêu thụ trung bình ICC đƣợc tính theo công thức: ICC = (ICCH + ICCL)/ 2 . Công suất tiêu thụ trung bình của mỗi cổng sẽ là: P0 = ICC . VCC www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 51
  52. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.3.5. Trễ truyền lan . Tín hiệu đi qua một cổng phải mất một khoảng thời gian, đƣợc gọi là trễ truyền lan. Vào Vào Ra Ra tTHL tTLH . Trễ truyền lan xảy ra tại cả hai sƣờn của xung ra. Nếu kí hiệu trễ truyền lan ứng với sƣờn trƣớc là tTHL và sƣờn sau là tTLH thì trễ truyền lan trung bình là: tTbtb = (t THL + tTLH)/2 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 52
  53. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.4. Các họ cổng logic . Họ DDL . Họ DTL . Họ RTL . Họ TTL . Họ MOS FET . Họ ECL www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 53
  54. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.4.1. Họ DDL . DDL (Diode Diode Logic) là họ cổng logic do các diode bán dẫn tạo thành. Cổng AND, OR 2 lối vào họ DDL: Bảng trạng thái thể hiện nguyên lý hoạt động của các cổng +5V AND, OR họ DDL AND OR R1 D1 A f A A(V) B(V) f(V) A(V) B(V) f(V) f D2 B B a) Cổng AND 0 0 0,7 0 0 0 D1 A f 0 3 0,7 0 5 4,3 A D2 f B B 3 0 0,7 5 0 4,3 R1 3 3 4,7 5 5 4,3 b) Cổng OR Theo mức điện áp vào/ra www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 54
  55. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.4.2. Họ DTL . Để thực hiện chức năng đảo, ta có thể đấu nối tiếp với các cổng DDL một transistor công tác ở chế độ khoá. Mạch cổng nhƣ thế đƣợc gọi là họ DTL (Diode Transistor Logic). . Ví dụ các cổng NOT, NAND thuộc họ DTL +5V +5V +5V +5V 2k 2k 4k 4k f f D1 D2 D3 D1 D2 D3 A Q A Q1 1 D4 5k 5k B a) b) . Bằng cách tƣơng tự, ta có thể thiết lập cổng NOR hoặc các cổng liên hợp phức tạp hơn. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 55
  56. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.4.3. Họ RTL . Họ RTL (Resistor Transistor Logic) là các cổng logic đƣợc cấu tạo bởi các điện trở và transistor. Cổng NOT họ RTL Cổng NOR 2 lối vào họ RTL 12 V 5 V 12 V 5 V 1 k 1 k D 1 k D A Z 1 k 1 k 5,7 V B 5,7 V 0 V 5 V 0 V 5 V 10 k 10 k 0 V 0 V -12 V 0 V -12 V 0 V Bảng trạng thái Bảng trạng thái A(V) B(V) f(V) A(V) f(V) 0 0 5,7 0 5,7 0 5 0 5 0 5 0 0 5 5 0 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 56
  57. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.4.4. Họ TTL . Thay các điốt đầu vào họ DTL thành transistor đa lớp tiếp giáp BE ta đƣợc họ TTL (Transistor Transistor Logic). . Một số mạch TTL . Mạch cổng NAND . Mạch cổng OR . Mạch cổng collector để hở . Mạch cổng TTL 3 trạng thái . Họ TTL có diode Schottky ( TTL + S ) www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 57
  58. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.4.4.1. Mạch cổng NAND TTL . Sơ đồ nguyên lý của mạch NAND TTL có thể đƣợc chia ra thành 3 phần. +Vcc R1 R2 R3 300 4k 1,6k Q3 A Q1 Q2 D3 A f f B B Q4 D1 D2 R4 1k . Mạch đầu vào: gồm Transistor Q1, trở R1 và các diode D1, D2. . Mạch giữa: gồm Transistor Q2, các trở R2, R4. . Mạch đầu ra: gồm Q3, Q4, R3 và diode D3. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 58
  59. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.4.4.2. Mạch cổng OR TTL Sơ đồ nguyên lý của mạch NAND TTL có thể đƣợc chia ra thành 3 phần. +Vcc R1 R2 R3 R5 R7 130 4k 4k 1,6k 1,6k D3 Q7 Q6 A Q4 Q1 D4 f B Q2 Q3 Q5 Q8 D1 D2 R4 R6 1 k 1 k Sơ đồ mạch điện của một cổng OR TTL 2 lối vào. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 59
  60. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.4.4.3. Mạch cổng collector để hở . Nhƣợc điểm của họ cổng TTL có mạch ra khép kín là hệ số tải đầu ra không thể thay đổi, nên nhiều khi gây khó khăn trong việc kết nối với đầu vào của các mạch điện tử tầng sau. Cổng logic collector để hở khắc phục đƣợc nhƣợc điểm này. +5V R1 R2 4k 1,6k  A Q1 Q2 A f Q3 f D1 R3 1,6k . Hình trên là sơ đồ của một cổng TTL đảo collector hở tiêu chuẩn. Muốn đƣa cổng vào hoạt động, cần đấu thêm trở gánh ngoài, từ cực collector đến +Vcc. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 60
  61. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.4.4.4.Mạch cổng TTL 3 trạng thái +5V +Vcc R3 R5 R1 R2 1,6k 130 R5 4k 4k D1 Q4 A Q3 Q4 Q1 D2 B f Lối ra Z cao E Q2 Q5 R4 Q5 1k www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 61
  62. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.4.5. Họ MOS FET . Bán dẫn trƣờng (MOS FET) cũng đƣợc dùng rất phổ biến để xây dựng mạch điện các loại cổng logic. Đặc điểm chung và nổi bật của họ này là: . Mạch điện chỉ bao gồm các MOS FET mà không có điện trở . Dải điện thế công tác rộng, có thể từ +3 đến +15 V . Độ trễ thời gian lớn, nhƣng công suất tiêu thụ rất bé . Tuỳ theo loại MOS FET đƣợc sử dụng, họ này đƣợc chia ra các tiểu họ: . PMOS . NMOS . CMOS . Cổng truyền dẫn www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 62
  63. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.4.5.1. PMOS . Mạch điện của họ cổng này chỉ dùng MOSFET có kênh dẫn loại P. Công nghệ PMOS cho phép sản xuất các mạch tích hợp với mật độ cao nhất. VDD VDD S S A A G Q3 G Q1 D D f = A S B S G Q4 G Q2 D f= A+B S D G Q5 VSS D VSS a) Cổng NOT b) Cổng NOR www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 63
  64. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.4.5.2. NMOS . Mạch điện của họ cổng này chỉ dùng MOSFET có kênh dẫn loại N. VDD VDD Q1 Q1 1 f f Q2 Q2 Q3 A A B Q3 B VSS VSS a) Cổng NAND b) Cổng NOR www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 64
  65. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.4.5.3. CMOS . CMOS – Complementary MOS. Mạch điện của họ cổng logic này sử dụng cả hai loại MOS FET kênh dẫn P và kênh dẫn N. Bởi vậy có hiện tƣợng bù dòng điện trong mạch. Chính vì thế mà công suất tiêu thụ của họ cổng, đặc biệt trong trạng thái tĩnh là rất bé. VDD V DD S S S G Q1 G Q2 G Q1 D D f D D A f Q3 D G A G Q2 S S B Q4 a) Cổng NOT b) Cổng NAND www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 65
  66. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.4.5.5. Cổng truyền dẫn . Dựa trên công nghệ CMOS, ngƣời ta sản xuất loại cổng có thể cho qua cả tín hiệu số lẫn tín hiệu tƣơng tự. Bởi vậy cổng đƣợc gọi là cổng truyền dẫn G Q1 D S Vào/Ra Ra/Vào Vào/Ra Ra/Vào +5V D S Q2 Điều khiển G a) Mạch điện b) Ký hiệu www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 66
  67. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.4.6. Họ ECL . ECL (Emitter Coupled Logic) là họ cổng logic có cực E của một số bán dẫn nối chung với nhau. Họ mạch này cũng sử dụng công nghệ TTL, nhƣng cấu trúc mạch có những điểm khác hẳn với họ TTL. +Vcc D R5 R6 R8 Lối C vào Q8 Ra B Lối ra Q7 OR A - 0,9 V Lối ra NOR Q4 Q5 Q6 Q1 Q2 Q3 D1 -1,29 V D2 R1 R2 R3 R4 RE R7 R9 - 1,75 V - 1,2 V Vào -Vcc = - 5V - 1,4 V a) Mạch điện nguyên lý b) Đồ thị mức vào/ra www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 67
  68. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.5. Giao tiếp giữa các cổng logic cơ bản . Giao tiếp giữa TTL và CMOS . Giao tiếp giữa CMOS và TTL www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 68
  69. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.5.1. Đặc điểm của họ TTL và CMOS khi sử dụng và ghép nối. . Đối với cổng TTL . + Tất cả các đầu vào của cổng TTL để hở sẽ hoạt động nhƣ mức logic 1 (do diode base-emitter của transistor của mạch vào không đƣợc phân cực thuận). Trƣờng hợp này gọi là thả nổi đầu vào. . + Khi không sử dụng một đầu vào nào đó của cổng thì ta phải nối nó với đất hoặc dƣơng nguồn sao cho chức năng của cổng không bị thay đổi. . + Không đƣợc nối trực tiếp hai đầu ra của hai cổng TTL với nhau. Trong trƣờng hợp này phải sử dụng cổng collector để hở -OC. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 69
  70. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ Headline3.5.1. Đặc điểm(Times của họ New TTL và Roman CMOS khi Black sử dụng 36pt.)và ghép nối. • Đối với cổng CMOS. + Không đƣợc phép thả nổi các đầu vào không đƣợc sử dụng đến mà phải nối chúng với đất hoặc dƣơng nguồn hoặc đầu khác sao cho chức năng của cổng không bị thay đổi. + Điện trở đầu vào cao gây nên hiện tƣợng tích tụ hạt tĩnh điện, dẫn đến phát sinh điện thế có thể đủ lớn để đánh thủng lớp điện môi mỏng giữa cực G và kênh dẫn. Do vậy ngƣời ta chế tạo lƣới diode điện trở ở đầu vào nhằm bảo vệ transistor. + Điện trở đầu ra thƣờng nhỏ nên tốc độ chuyển mạch tƣơng đối nhanh. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 70
  71. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ Headline3.5.2.1 Giao (Timestiếp giữa Newhọ TTL+CMOS Roman Black 36pt.) Cùng điện áp cung cấp +5V. Trong trƣờng hợp này điện áp ra của TTL nhỏ hơn so với điện áp vào của CMOS. Do vậy ta phải dùng mạch bổ sung để tƣơng hợp hai loại IC khác nhau. Giải pháp tiêu chuẩn là dùng điện trở kéo lên (điện trở pull-up) giữa điều khiển TTL và tải CMOS nhƣ hình 3-56. + 5V Rp Điều khiển TTL Tải CMOS Điều khiển TTL và tải CMOS www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 71
  72. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ Headline3.5.2.1 Giao (Timestiếp giữa Newhọ TTL+CMOS Roman Black 36pt.) Khác điện áp cung cấp +5V. Điện áp cung cấp dùng cho IC CMOS thích hợp nhất là từ +9V đến +12V. Một cách dùng để điện áp cung cấp lớn là sử dụng IC TTL hở mạch Collector nhƣ ở hình sau, vì tầng ra của TTL hở cực C chỉ gồm transistor nhận dòng với cực C thả nổi. Hình 3-57. Điều khiển TTL hở mạch Collector và tải CMOS www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 72
  73. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 3.5.2.2 Giao tiếp giữa họ CMOS + TTL . Cùng điện áp cung cấp +5V. . Giải pháp tiêu chuẩn là dùng điện trở kéo lên (điện trở pull- up) giữa điều khiển TTL và tải CMOS nhƣ hình 3-56. . Khác điện áp cung cấp. . Điện áp cung cấp dùng cho IC CMOS thích hợp nhất là từ +9V đến +12V. Một cách dùng để điện áp cung cấp lớn là sử dụng IC TTL hở mạch Collector nhƣ ở hình 3-57, vì tầng ra của TTL hở cực C chỉ gồm transistor nhận dòng với cực C thả nổi. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1
  74. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ Chƣơng 1: Hệ đếm Chƣơng 2: Đại số Boole và các phƣơng pháp biểu diễn hàm Chƣơng 3: Cổng logic TTL và CMOS . Chƣơng 4: Mạch logic tổ hợp Chƣơng 5: Mạch logic tuần tự Chƣơng 6: Mạch phát xung và tạo dạng xung Chƣơng 7: Bộ nhớ bán dẫn. Chƣơng 8: cấu kiện logic khả trình (PLD) Chƣơng 9: Ngôn ngữ mô tả phần cứng (VHDL) www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1
  75. Headline (Times New RomanBÀI GIẢNG Black MÔN : ĐIỆN 36pt.) TỬ SỐ CHƢƠNG 4 Mạch logic tổ hợp www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 75
  76. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ Nội dung . Phân tích mạch logic tổ hợp . Thiết kế mạch logic tổ hợp . Mạch mã hóa và giải mã . Bộ hợp kênh và phân kênh . Mạch cộng . Mạch so sánh . Mạch tạo và kiểm tra chẵn lẻ . Đơn vị số học và logic (ALU) . Hazzards www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 76
  77. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ Khái niệm chung . Mạch logic tổ hợp có thể có n lối vào và m lối ra. Mỗi lối ra là một hàm của các biến vào. Quan hệ vào, ra này đƣợc thể hiện bằng hệ phƣơng trình tổng quát sau: Y0 = f0(x0, x1, , xn-1); x Y1 = f1(x0, x1, , xn-1); 0 Y x 0 1 Y Mạch logic 1 tổ hợp Ym-1 = fm-1(x0, x1, , xn-1). xn-1 Ym-1 . Đặc điểm nổi bật của mạch logic tổ hợp là hàm ra chỉ phụ thuộc các biến vào mà không phụ thuộc vào trạng thái của mạch. Do đó, trạng thái ra chỉ tồn tại trong thời gian có tác động vào. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 77
  78. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 4.1. Phân tích mạch logic tổ hợp . Mạch tổ hợp có thể bao gồm hai hay nhiều tầng, mức độ phức tạp của của mạch cũng rất khác nhau. . Các bƣớc thực hiện: . Nếu mạch đơn giản thì ta tiến hành lập bảng trạng thái, viết biểu thức, rút gọn, tối ƣu (nếu cần) và cuối cùng vẽ lại mạch điện. . Nếu mạch phức tạp thì ta tiến hành phân đoạn mạch để viết biểu thức, sau đó rút gọn, tối ƣu (nếu cần) và cuối cùng vẽ lại mạch điện. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 78
  79. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 4.2. Thiết kế mạch logic tổ hợp Các bƣớc thiết kế : 1. Phân tích bài toán đã cho để gắn hàm và biến, xác lập mối quan hệ logic giữa hàm và các biến đó; 2. Lập bảng trạng thái tƣơng ứng; 3. Từ bảng trạng thái có thể viết trực tiếp biểu thức đầu ra hoặc thiết lập bảng Cac nô tƣơng ứng; 4. Dùng phƣơng pháp thích hợp để rút gọn, đƣa hàm về dạng tối giản hoặc tối ƣu theo mong muốn; 5. Vẽ mạch điện thể hiện. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 79
  80. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 4.3. Mạch mã hóa . Mạch mã hoá . Mạch mã hoá từ thập phân sang BCD 8421 . Mạch mã hoá ƣu tiên www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 80
  81. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 4.3.1. Mạch mã hoá từ thập phân sang BCD 8421 . Sơ đồ khối tổng quát của mạch mã hoá Sơ đồ khối của mạch mã hóa Bảng trạng thái 1 A Vào thập Ra BCD 2 8 phân 8 4 2 1 3 B 4 Mạch 1 0 0 0 1 Vào 4 Ra 5 mã hoá Thập C BCD 2 0 0 1 0 6 phân 2 8421 7 3 0 0 1 1 D 8 1 4 0 1 0 0 9 5 0 1 0 1 6 0 1 1 0 . Từ bảng trạng thái ta viết đƣợc các hàm ra: 7 0 1 1 1 A = 8 +9 =  (8,9) B = 4 + 5 + 6 + 7 =  ( 4,5,6,7) 8 1 0 0 0 C = 2 + 3 + 6 + 7 =  (2,3,6,7) 9 1 0 0 1 D = 1 + 3 + 5 + 7 + 9 =  (1,3,5,7,9) www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 81
  82. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 4.3.1. Mạch mã hoá từ thập phân sang BCD 8421 (tiếp) . Căn cứ hệ phƣơng trình, ta xây dựng đƣợc mạch điện của bộ mã hoá. Hoặc +5V dùng ma trận diode (cổng OR) để xây R4 R3 R2 R1 dựng . Hoặc có thể đƣợc viết lại nhƣ sau (dùng 1 định lý DeMorgan) và dùng ma trận diode (cổng AND) để xây dựng mạch: 2 3 A 8 9 8 . 9 4 B 4 5 6 7 4 . 5 . 6 . 7 5 C 2 3 6 7 2 . 3 . 6 . 7 6 D 1 3 5 7 9 1 . 3 . 5 . 7 . 9 7 8 9 A B C D Mạch điện của bộ mã hoá dùng diode www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 82
  83. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 4.3.2. Mạch mã hóa ƣu tiên . Trong bộ mã hoá vừa xét Vào thập phân Ra BCD trên, tín hiệu vào tồn tại độc 1 2 3 4 5 6 7 8 9 8 4 2 1 lập, (không có trƣờng hợp 0 0 0 0 0 0 0 0 0 0 0 0 0 có 2 tổ hợp trở lên đồng thời 1 0 0 0 0 0 0 0 0 0 0 0 1 tác động). X 1 0 0 0 0 0 0 0 0 0 1 0 . Xét nguyên tắc hoạt động và X X 1 0 0 0 0 0 0 0 0 1 1 quá trình thiết kế của bộ mã X X X 1 0 0 0 0 0 0 1 0 0 hoá ƣu tiên 9 lối vào, 4 lối X X X X 1 0 0 0 0 0 1 0 1 ra. X X X X X 1 0 0 0 0 1 1 0 X X X X X X 1 0 0 0 1 1 1 X X X X X X X 1 0 1 0 0 0 X X X X X X X X 1 1 0 0 1 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 83
  84. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 4.3.2. Mạch mã hóa ƣu tiên (tiếp) . D sẽ lấy logic 1 ứng với đầu vào là 1, 3, 5, 7, 9. Tuy nhiên, lối vào 1 chỉ hiệu lực khi tất cả các lối vào cao hơn đều bằng 0; lối vào 3 chỉ có hiệu lực khi 4, 6, 8 đều bằng 0 và tƣơng tự đối với 5, 7, 9, nghĩa là: 1=“1” và 2,4,6,8 bằng “0” 3=“1” và 4,6,8 bằng “0” D=“1” nếu 5=“1” và 6,8 bằng “0” 7=“1” và 8 bằng “0” 9=“1” D =1.2.4.6.8 +3.4.6.8 +5.6.8 +7.8 +9 C = 2.4.5.8.9 +3.4.5.8.9 + 6.8.9 + 7.8.9 B = 4.8.9 +5.8.9 + 6.8.9 + 7.8.9 A = 8 +9 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 84
  85. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 4.4. Mạch giải mã . 1. Dụng cụ 7 đoạn . Để hiển thị chữ số của một hệ đếm phân a bất kỳ, ta có thể dùng dụng cụ 7 đoạn. Cấu tạo của nó nhƣ chỉ ở hình 4-15. f b g . Các đoạn đƣợc hình thành bằng nhiều loại e c vật liệu khác nhau, nhƣng phải có khả năng hiển thị đƣợc trong các điều kiện ánh d sáng khác nhau và tốc độ chuyển mạch Cấu tạo dụng cụ 7 đoạn sáng phải đủ lớn. Trong kĩ thuật số, các đoạn thƣờng đƣợc dùng là LED hoặc tinh thể lỏng (LCD). . LED có hai loại chính: LED Anôt chung và Ktốt chung. Do đó, logic của tín hiệu điều khiển hai loại này là ngƣợc nhau. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 85
  86. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 4.4.2 Mạch giải mã 7 đoạn a Hiển b A B C D a b c d e f g Mạch thị D c 1 giải mã C d 0 0 0 0 0 0 0 0 0 0 1 0 2 7 đoạn B 4 e 0 0 0 1 1 0 0 1 1 1 1 1 A 8 f 0 0 1 0 0 0 1 0 0 1 0 2 g 0 0 1 1 0 0 0 0 1 1 0 3 b) Sơ đồ khối của mạch 0 1 0 0 1 0 0 1 1 0 0 4 giải mã 7 đoạn sáng 0 1 0 1 0 1 0 0 1 0 0 5 . Do đó, ta viết đƣợc hàm ra: 0 1 1 0 0 1 0 0 0 0 0 6  a =  (0,2,3,5,6,7,8,9). 0 1 1 1 0 0 0 1 1 1 1 7 . Tƣơng tự, ta có: 1 0 0 0 0 0 0 0 0 0 0 8  b =  (0,1,2,3,4,7,8,9), 1 0 0 1 0 0 0 0 1 0 0 9  c =  (0,1,3,4,5,6,7,8,9), Bảng trạng thái bộ giải mã  d =  (0,2,3,5,6,8,9),  e =  (0,2,6,8),  f =  (0,4,5,6,8,9),  g =  (2,3,4,5,6,8,9). . IC 7447, 74247 (Anốt chung), 7448 (K chung ), 4511 (CMOS) là các IC giải mã từ NBCD sang thập phân theo phƣơng pháp hiển thị 7 đoạn. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 86
  87. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 4.4.3. Mạch giải mã nhị phân . Bộ giải mã nhị phân còn có tên là bộ giải D0 mã "1 từ n", bộ giải mã địa chỉ hoặc bộ A0 Bộ giải mã D1 chọn địa chỉ nhị phân. Chức năng của nó A1 nhị phân là lựa chọn duy nhất một lối ra (lấy giá An-1 trị 1 hoặc 0), khi tác động tới đầu vào D2n- 1 một số nhị phân. Sơ đồ khối của bộ giải mã nhị phân E A1 A0 D0 D1 D2 D3 D0 E.A 1 .A 0  0 x x 0 0 0 0 D E.A .A 1 0 0 1 0 0 0 1 1 0  1 0 1 0 1 0 0 D2 E.A 1 .A 0 1 1 0 0 0 1 0 D3 E.A 1 .A 0  1 1 1 0 0 0 1 Bảng trạng thái www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 87
  88. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 4.5. Bộ hợp kênh và phân kênh . Bộ hợp kênh (MUX-Multiplexer) . Định nghĩa: Bộ hợp kênh là mạch có 2n lối vào dữ liệu, n lối vào điều khiển, 1 lối vào chọn mạch và 1 lối ra. . Tuỳ theo giá trị của n lối vào điều khiển mà lối ra sẽ bằng một trong những giá trị ở lối vào (Xj). Nếu giá trị thập phân của n lối vào điều khiển bằng j thì Y = Xj. . Bộ phân kênh (DEMUX-DeMultiplexer) . Định nghĩa: Bộ phân kênh là mạch có 1 lối vào dữ liệu, n lối vào điều khiển, 1 lối vào chọn mạch và 2n lối ra. . Tuỳ theo giá trị của n lối vào điều khiển mà lối ra thứ i (Yi) sẽ bằng giá trị của lối vào. Cụ thể nếu gọi n lối vào điều khiển là An-1An-2 A0 thì Yi = X khi (An-1An-2 A0)2 = (i)10. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 88
  89. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 4.5.1. Bộ hợp kênh (MUX-Multiplexer) . Phƣơng trình tín hiệu ra của MUX 2n 1: Y X (A A A A ) X (A A A A ) Xn (A A A A A ) 0n1n2i 0 1n1n2i 021 n1n2i10 En X 0 X0 74151 A0 X1 MUX X Vào điều 1 Y A1 2n 1 Y- Lối ra khiển X A2 j Vào dữ Xj n liệu X2 -1 n X2 -1 A A A (b). MUX là một chuyển mạch điện tử n-1 n-2 0 Vào cho E phép n lối vào điều khiển 1 E (a) Sơ đồ khối 2 Bộ hợp kênh MUX 2n 1 . Thực chất, MUX là chuyển mạch điện tử dùng các tín hiệu điều khiển (An-1An-2 A0) để điều khiển sự nối mạch của lối ra với 1 trong số 2n lối vào. . MUX đƣợc dùng nhƣ 1 phần tử vạn năng để xây dựng những mạch tổ hợp khác. . IC 74151 là bộ MUX 8 lối vào dữ liệu - 1 lối ra. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 89
  90. G BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ Headline4.5.1. Bộ hợp (Times kênh (MUX New-Multiplexer) Roman Black 36pt.) B A Y G X X H L D0 L L L D0 L H L D1 D1 H L L D 2 Y H H L D3 D2 Bảng chức năng của bộ hợp kênh 4:1. D3 B A Hình 4-8. Sơ đồ logic bộ MUX 4:1 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 90
  91. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 4.5.2. Bộ phân kênh (DEMUX-DeMultiplexer) n . Phƣơng trình tín hiệu ra của DEMUX 1 2 : Y0 X.An 1 A n 2 A i A 0 Y10 X.An 1 A n 2 A i A 1 A Y0 Y0 Yn X.An 1 .A n 2 A i A 0 En 21 Y1 MUX Y1 Chọn mạch 2n 1 X Y Yj Lối vào X j Lối vào n n Y2 -1 Y2 -1 (b). DEMUX là một chuyển mạch điện tử 74138 A0 Vào điều An-1 An-2 A0 A1 khiển n lối vào điều khiển A2 (a) Sơ đồ khối Vào dữ n Hình 4-19. Bộ phân kênh DEMUX 1 2 liệu . Bộ phân kênh còn đƣợc gọi là bộ giải mã 1 trong 2n. n Vào cho Tại một thời điểm chỉ có 1 trong số 2 lối ra ở mức phép tích cực. . IC 74138 là bộ DEMUX 1 lối vào dữ liệu - 8 lối ra. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 91
  92. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ Headline4.5.2. Bộ phân (Times kênh (DEMUX New Roman-DeMultiplexer) Black 36pt.) B A Y0 Y1 Y2 Y3 0 0 D 0 0 0 0 1 0 D 0 0 1 0 0 0 D 0 1 1 0 0 0 D Bảng 4-7. Bảng trạng thái của bộ phân kênh 1 vào 4 ra. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 92
  93. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 4.6. Mạch cộng. 4.6.1. Mạch bán tổng Ai Bi Si Ci 0 0 0 0 Ai Si a) 0 1 1 0 HA Bi Ci 1 0 1 0 1 1 0 1 Ai Bảng 4-8. Bảng trạng thái của Si mạch HA Bi b) SABi  i i Ci Ci A i .B i a) Sơ đồ khối của HA, b) Sơ đồ logic www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1
  94. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 4.6. Mạch cộng. 4.6.2. Mạch toàn tổng Bảng trạng thái Ci-1 a S C a b S C i i i-1 i i i i b 0 0 0 0 0 i 0 0 1 1 0 Ci 0 1 0 1 0 0 1 1 0 1 Gi Pi 1 0 0 1 0 a) Mạch điện 1 0 1 0 1 1 1 0 0 1 Si 1 1 1 1 1 Pi C TT Ci Si a i  b i  C i 1 i-1 Gi Ci a i bC i i 1 a i bC i i 1 a i bC i i 1 a b C a b a  b C i i i i i i i i 1 b) Ký hiệu www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 94
  95. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 4.6.3. Mạch cộng nhị phân song song . Ta có thể ghép nhiều bộ cộng hai số nhị một bit lại với nhau để thực hiện phép cộng hai số nhị phân nhiều bit. . Sơ đồ khối của bộ cộng đƣợc trình bày ở dƣới, đƣợc gọi là bộ cộng song song Si S2 S1 S0 Bộ Bộ Bộ Bộ toàn toàn toàn toàn C C CR2 C Ri tổng Vi tổng CV2 CR1 tổng CV1 R0 tổng CV0 bi ai b2 a2 b1 a1 b0 a0 Sơ đồ khối của bộ cộng nhị phân song song . Một trong những bộ cộng thông dụng hiện nay là 7483. IC này đƣợc sản xuất theo hai loại: 7483 và 7483A với logic vào, ra khác nhau. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 95
  96. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 4.7. Mạch so sánh . Trong các hệ thống số, đặc biệt là trong máy tính, thƣờng thực hiện việc so sánh hai số. . Hai số cần so sánh có thể là các số nhị phân, có thể là các ký tự đã mã hoá nhị phân. . Mạch so sánh có thể hoạt động theo kiểu nối tiếp hoặc theo kiểu song song. Trong phần này, trình bày bộ so sánh theo kiểu song song. . Bộ so sánh bằng nhau Bộ so sánh bằng nhau 1 bit Bộ so sánh bằng nhau 4 bit . Bộ so sánh Bộ so sánh 1 bit Bộ so sánh 4 bit (So sánh lớn hơn) www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 96
  97. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 4.7.1. Bộ so sánh bằng nhau . Bộ so sánh bằng nhau 1 bit Bảng trạng thái của bộ . Xét 2 bit ai và bi, gọi gi là kết quả so sánh. so sánh bằng 1 bit . Ta có: ai bi gi g a b a b a  b i i i i i i i Sơ đồ logic của hàm ra 0 0 1 bộ so sánh bằng 1 bit 0 1 0 a i g 1 0 0 b i i 1 1 1 . Bộ so sánh bằng nhau 4 bit . So sánh hai số nhị phân 4 bit A = a3a2a1a0 với B = b3b2b1b0. Có A = B a3 = b3, a2 = b2, a1 = b1, a0 = b0. . Biểu thức đầu ra tƣơng ứng là: G = g3g2g1g0 với: ga33  bga 322,,,  bgabga 211100   b 0 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 97
  98. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 4.7.2. Bộ so sánh 1 bit Bảng trạng thái của mạch so sánh Mạch điện của bộ so sánh 1 bit ai bi f ai f . Biểu thức đầu ra: f ai .bi f ai  bi f ai .bi www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 98
  99. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 4.7.3. Bộ so sánh 4 bit (So sánh lớn hơn) . So sánh hai số nhị phân 4 bit A = a3a2a1a0 với B = b3b2b1b0. Có A > B khi: . hoặc a3 > b3, . hoặc a3 = b3, và a2 > b2, . hoặc a3 = b3, và a2 = b2, và a1 > b1, . hoặc a3 = b3, và a2 = b2, và a1 = b1, và a0 > b0. . Từ đó ta có biểu thức hàm ra là: a3 b3 f a3 b 3 a 3  b 3 a 2 b 2 a b a  b a b 3 3 2 2 1 1 a2 b2 a3 b 3 a 2  b 2 a 1  b 1 a 0 b 0 f> a1 b1 a0 b0 Mạch điện của bộ so sánh lớn hơn 4 bit www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 99
  100. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 4.8. Mạch tạo và kiểm tra chẵn lẻ . Có nhiều phƣơng pháp mã hoá dữ liệu để phát hiện lỗi và sửa lỗi khi truyền dữ liệu từ nơi này sang nơi khác. Phƣơng pháp đơn giản nhất là thêm một bit vào dữ liệu đƣợc truyền đi sao cho số chữ số 1 trong dữ liệu luôn là chẵn hoặc lẻ. Bit thêm vào đó đƣợc gọi là bit chẵn/lẻ. . Để thực hiện đƣợc việc truyền dữ liệu theo kiểu đƣa thêm bit chẵn, lẻ vào dữ liệu chúng ta phải: . Xây dựng sơ đồ tạo đƣợc bit chẵn, lẻ để thêm vào n bit dữ liệu. . Xây dựng sơ đồ kiểm tra hệ xem đó là hệ chẵn hay lẻ với (n + 1) bit ở đầu vào (n bit dữ liệu, 1 bit chẵn/lẻ). www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 100
  101. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 4.8.1. Mạch tạo bit chẵn/lẻ X Tạo bit o n bit chẵn/lẻ dữ liệu Xe Sơ đồ khối tổng quát của Bảng trạng thái của mạch tạo bit chẵn/lẻ mạch tạo bit chẵn lẻ Vào Ra . Xét trƣờng hợp 3 bit dữ liệu d1, d2, d3 d1 d2 d3 Xe Xo 0 0 0 0 1 . Gọi Xe, X0 là 2 bit chẵn, lẻ thêm vào dữ liệu. 0 0 1 1 0 . Từ bảng trạng thái ta thấy 0 1 0 1 0 0 1 1 0 1 Xo X e hay X e X o 1 0 0 1 0 . Và biểu thức của X0 và Xe là 1 0 1 0 1 Xe d 1  d 2  d 3 1 1 0 0 1 1 1 1 1 0 Xo X e d 1  d 2  d 3 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 101
  102. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 4.8.2. Mạch kiểm tra chẵn/lẻ Vào Ra Fo Kiểm tra d1 d2 d3 X Fo Fe n bit dữ liệu hệ chẵn/lẻ 0 0 0 0 0 1 Fe 0 0 0 1 1 0 Bit chẵn lẻ 0 0 1 0 1 0 (Xo, Xe) 0 0 1 1 0 1 Sơ đồ khối của mạch kiểm tra chẵn/lẻ 0 1 0 0 1 0 0 1 0 1 0 1 . Từ bảng trạng thái của mạch kiểm tra tính 0 1 1 0 0 1 chẵn/lẻ ta thấy: 0 1 1 1 1 0 . Fe = 1 nếu hệ là chẵn (Fe chỉ ra tính chẵn của hệ). 1 0 0 0 1 0 . Fo = 1 nếu hệ là lẻ (Fo chỉ ra tính lẻ của hệ). 1 0 0 1 0 1 1 0 1 0 0 1 . Hai hàm kiểm tra chẵn/lẻ luôn là phủ định 1 0 1 1 1 0 của nhau. Mặt khác do tính chất của hàm 1 1 0 0 0 1 cộng XOR, ta có: 1 1 0 1 1 0 . F = d  d  d  X o 1 2 3 1 1 1 0 1 0 . Fe = Fo 1 1 1 1 0 1 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 102
  103. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 74LS180 8 9 10 11 12 13 1 2 I0 I1 I2 I3 I4 I5 I6 I7 4 OI 54/74180 3 EI E O 5 6 VCC = 14 GND = 7 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 103
  104. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 4.9. Đơn vị số học và logic (ALU) 4 4 Thanh ghi A Thanh ghi B 4 4 Cin ALU M (Mode) Chọn chức năng F0 (Phép tính) F1 4 4 Ghi trạng thái Sơ đồ khối của ALU 4 bit . Đơn vị số học và logic (Arithmetic – Logic Unit) là một thành phần cơ bản không thể thiếu đƣợc trong các máy tính. Nó bao gồm 2 khối chính là khối logic và khối số học và một khối ghép kênh. . Khối logic: Thực hiện các phép tính logic nhƣ là AND, OR, NOT, XOR. . Khối số học: Thực hiện các phép tính số học nhƣ là: cộng, trừ, tăng 1, giảm 1. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 104
  105. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 4.10. Hazzards/Glitch . Hazard còn đƣợc gọi là sự "sai nhầm", hoạt động lúc đƣợc lúc không của mạch logic. . Sự "sai nhầm" này có thể xảy ra trong một mạch điện hoàn toàn không bị hỏng linh kiện làm cho mạch hoạt động không có sự tin cậy. . Hiện tƣợng của Hazard trong mạch tổ hợp có thể gặp là: - Hazard chỉ xuất hiện một lần và không bao giờ gặp lại nữa. - Hazard có thể xuất hiện nhiều lần (theo một chu kỳ nào đó hoặc không theo một chu kỳ nào). - Hazard có thể do chính chức năng của mạch điện gây ra. Đây là trƣờng hợp khó giải quyết nhất khi thiết kế. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 105
  106. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 4.10. Hazzards Phƣơng pháp khắc phục Hazard 2 x1 1 3 x2 1 2 x3 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 106
  107. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ Nội dung Chƣơng 1: Hệ đếm Chƣơng 2: Đại số Boole và các phƣơng pháp biểu diễn hàm Chƣơng 3: Cổng logic TTL và CMOS Chƣơng 4: Mạch logic tổ hợp • Chƣơng 5: Mạch logic tuần tự Chƣơng 6: Mạch phát xung và tạo dạng xung Chƣơng 7: Bộ nhớ bán dẫn. Chƣơng 8: cấu kiện logic khả trình (PLD) Chƣơng 9: Ngôn ngữ mô tả phần cứng (VHDL) www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 107
  108. Headline (Times New RomanBÀI GIẢNG Black MÔN : ĐIỆN 36pt.) TỬ SỐ CHƢƠNG 5. MẠCH LOGIC TUẦN TỰ www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 108
  109. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ Nội dung . Khái niệm chung và mô hình toán học . Phần tử nhớ của mạch tuần tự . Phƣơng pháp mô tả mạch tuần tự . Phân tích mạch tuần tự . Thiết kế mạch tuần tự . Mạch tuần tự đồng bộ . Mạch tuần tự không đồng bộ . Hiện tƣợng chu kỳ và chạy đua trong mạch không đồng bộ . Một số mạch tuần tự thông dụng www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 109
  110. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.1. Khái niệm chung và mô hình toán học . Khái niệm chung . Mạch logic tuần tự hay còn gọi là mạch dãy - Sequential Circuit. . Hoạt động của hệ này có tính chất kế tiếp nhau, tức là trạng thái hoạt động của mạch điện không những phụ thuộc trực tiếp lối vào mà còn phụ thuộc vào trạng thái bên trong trƣớc đó của chính nó. Nói cách khác các hệ thống này làm việc theo nguyên tắc có nhớ. x1 z1 x2 z2 . Mô hình toán học Mạch tổ hợp xi zj . Z = f(Q, X) Q Q W X - tập tín hiệu vào. 1 l 1 Wk Q - tập trạng thái trong trƣớc đó của mạch. Mạch nhớ W - hàm kích. Sơ đồ khối của mạch tuần tự. Z - các hàm ra . Biểu diễn khác: Z = f (Q(n), X); Q (n +1) = f (Q(n), X) Q(n +1): là trạng thái tiếp theo của mạch. Q(n): là trạng thái bên trong trƣớc đó. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 110
  111. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.2. Trigơ – Phần tử nhớ của mạch tuần tự . Định nghĩa: Trigơ là phần tử có khả năng lƣu trữ (nhớ) một trong hai trạng thái 0 và 1. PR . Cấu trúc Q Các . Trigơ có từ 1 đến một vài lối điều khiển, có lối vào hai lối ra luôn luôn ngƣợc nhau là Q và . điều TRIGƠ Tuỳ từng loại trigơ có thể có thêm các lối khiển vào lập (PRESET) và lối vào xoá (CLEAR). Clock Q Ngoài ra, trigơ còn có lối vào đồng bộ (CLOCK). Hình bên là sơ đồ khối tổng quát CLR của trigơ. . Phân loại: . Theo chức năng làm việc của của các lối vào điều khiển: Trigơ 1 lối vào nhƣ trigơ D, T; Trigơ 2 lối vào nhƣ trigơ RS, trigơ JK. . Theo phƣơng thức hoạt động : Trigơ không đồng bộ Trigơ đồng bộ, có hai loại: trigơ thƣờng và trigơ chính-phụ (Master-Slave). www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 111
  112. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.2.1. Trigơ RS (1) . Trigơ RS là loại có hai lối vào điều khiển S, R. Chân S gọi là lối vào "lập" (SET) và R đƣợc gọi là lối vào "xoá" (RESET). R S Q Q Sơ đồ khối: S Q S Q S Q R C S S Q R Q R Q Sơ đồ nguyên lý của trigơ RS và C RS đồng bộ R R Bảng TT của trigơ RS Bảng TT của trigơ RS đồng bộ cổng NAND S R Qk Mod hoạt động C S R Qk Mod hoạt động 0 0 Q Nhớ 0 X X Q Nhớ 0 1 0 Xóa 1 0 0 Q Nhớ 1 0 1 Lập 1 0 1 0 Xóa 1 1 0 1 Lập 1 1 X Cấm 1 1 1 X Cấm www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 112
  113. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.2.1. Trigơ RS (2) . Tri gơ RS không đồng bộ Bảng trạng thái Bảng Các nô k R Q R S Q RS Q 00 01 11 10 0 0 0 0 Q 0 0 1 1 0 0 1 X 0 S Q 0 1 0 0 0 1 1 X 1 1 1 X 0 1 0 0 1 01/ 1 0 1 1 Đồ hình trạng thái 1 1 0 0 1 1 1 X x0/ 0 1 0x/ Phƣơng trình đặc trƣng QK = S+ R.Q   10/ RS = 0(dieu kien de tranh tohopcam) www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 113
  114. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.2.2. Trigơ D . Trigơ D là loại trigơ có một lối vào điều khiển D. . Biểu thức: Qk = D, mỗi khi xuất hiện xung nhịp C. D . Sơ đồ khối: Q D Q D Q C C Q Q Q Bảng trạng thái Đồ hình trạng thái 1/ Q D Qk 0 0 0 CP 0 1 1 0/ 0 1 1/ D 1 0 0 n-1 1 1 1 Q 0/ . Ứng dụng: thƣờng dùng làm bộ ghi dịch dữ liệu hay bộ chốt dữ liệu. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 114
  115. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.2.3. Trigơ T . Trigơ T là loại trigơ có một lối vào điều khiển T. Mỗi khi có xung tới lối vào T thì lối ra Q sẽ thay đổi trạng thái. K . Biểu thức: Q = TQ+TQ = T Q T T TQ . Sơ đồ khối: k Q =TQ+TQ T Q T Q C Q TQ Q Q Q Đồ hình trạng thái Bảng trạng thái Bảng trạng thái 1/ T Q Qk rút gọn 0 0 0 T Qk 0 1 1 0 Q 0/ 0 1 0/ 1 0 1 1 Q_ 1 1 0 1/ www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 115
  116. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.2.4. Trigơ JK (1) . Trigơ JK là loại trigơ có hai lối vào điều khiển J, K. . Ƣu điểm hơn trigơQ RS là không còn tồn tại tổ hợp cấm bằng các đƣờng hồi tiếp từ Q về chân R và từ về S. . Trigơ JK còn có thêm đầu vào đồng bộ C. Trigơ có thể lập hay xoá trong khoảng thời gian ứng với sƣờn âm hoặc sƣờn dƣơng của xung đồng bộ C. Ta nói, trigơ JK thuộc loại đồng bộ. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 116
  117. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.2.4. Trigơ JK (2) Bảng TT đầy đủ Bảng TT U1 J k U3 J K Q Q rút gọn Q k 0 0 0 0 J K Q NAND_2 NAND_2 0 0 1 1 0 0 Q 0 1 0 0 0 1 0 U4 U2 0 1 1 0 1 0 1 Q_ K 1 0 0 1 1 1 Q‟ NAND_2 1 0 1 1 NAND_2 1 1 0 1 Bảng TT của trigơ Phƣơng trình 1 1 1 0 JK đồng bộ đặc trƣng U5 k J U7 C J K Q Q 0 X X Q n 1 n n NAND_3 Q J.Q K.Q NAND_2 0 0 Q C 0 1 0 U8 1 U6 Q_ 1 0 1 K 1 1 Q‟ NAND_2 NAND_3 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 117
  118. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.3. Bảng hàm kích thích của các loại Trigơ Q Qk S R J K T D 0 0 0 X 0 X 0 0 0 1 1 0 1 X 1 1 1 0 0 1 X 1 1 0 1 1 X 0 X 0 0 1 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 118
  119. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.4. Trigơ Chính-Phụ (Master-Slave) . Do các loại trigơ đồng bộ trên đều hoạt động tại sƣờn dƣơng hay sƣờn âm của xung nhịp nên khi làm việc ở tần số cao thì lối ra Q không đáp ứng kịp với sự thay đổi của xung nhịp, dẫn đến mạch hoạt động ở tình trạng không đƣợc tin cậy. . Lối ra của trigơ MS thay đổi tại sƣờn dƣơng và sƣờn âm của xung nhịp, nên cấu trúc của nó gồm 2 trigơ giống nhau nhƣng cực tính điều khiển của xung Clock thì ngƣợc nhau để đảm bảo sao cho tại mỗi sƣờn của xung sẽ có một trigơ hoạt động. C > TRIGƠ > TRIGƠ MASTER SLAVE www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 119
  120. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.5. Lối vào không đồng bộ của Trigơ . Các lối vào dữ liệu thông thƣờng của trigơ nhƣ D, S, R, J hoặc K là những lối vào đồng bộ . Các trigơ còn có thêm 2 đầu vào không đồng bộ, các lối này tác động trực tiếp lên các lối ra mà không phụ thuộc vào xung Clock . Các lối vào này thƣờng đƣợc ký hiệu là: PRE (lập) và CLR (R - xóa) hoặc PRE vàCLR (R) www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 120
  121. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.6. Một số IC Trigơ thông dụng . Trigơ JK: IC 54/7473- IC này gồm hai trigơ JK có lối vào xóa và không có lối vào lập hoạt độngtại sƣờn âm của xung Clock . Trigơ D: IC 54/7474- IC này gồm hai trigơ D có lối vào xóa và lối vào lập, hoạt động tại sƣờn dƣơng của xung Clock . Trigơ JK: IC 54/7476- IC này gồm hai trigơ JK có lối vào xóa và lối vào lập, hoạt động tại sƣờn âm của xung Clock. GND K1 Q1 Q1 K2 Q2 Q2 J2 J1 Q Q1 GND K2 Q2 Q 1 2 16 15 14 13 12 11 10 9 VCC CLR2 D2 CLK2 PR2 Q2 Q 14 13 12 11 10 9 8 2 14 13 12 11 10 9 8 2 3 5 1 2 3 4 5 6 7 1 4 6 7 7 CLK1 D1 CLK1 PR1 Q1 Q1 GND 1 2 3 4 5 6 8 CLK1 CLR1 K1 VCC CLK2 CLR2 J2 CLK1 PR1 CLR1 J1 VCC CLK2 PR2 CLR2 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 121
  122. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.7. Phƣơng pháp mô tả mạch tuần tự . Phƣơng trình logic (hay phƣơng pháp đại số) . Dùng các phƣơng trình logic để mô tả trạng thái và đầu ra. . Bảng trạng thái . Bảng chuyển đổi trạng thái . Bảng tín hiệu ra . Đồ hình trạng thái . Mô hình Mealy thực hiện ánh xạ . Mô hình Moore . Đồ thị dạng xung www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 122
  123. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.7.1. Bảng trạng thái (1) . Bảng chuyển đổi trạng thái . Bao gồm các hàng và các cột . Các hàng ghi các trạng thái trong . các cột ghi các giá trị của tín hiệu vào. . Các ô ghi giá trị các trạng thái trong kế tiếp mà mạch sẽ chuyển đến ứng với các giá trị ở hàng và cột Tín hiệu vào V V1 V2 . Vn Trạng thái S trong Trạng thái kế tiếp S → 1 Qk S2 . . Sn www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 123
  124. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.7.2. Bảng trạng thái (2) . Bảng tín hiệu ra . Các hàng của bảng ghi các trạng thái trong . Các cột ghi các tín hiệu vào. . Các ô ghi giá trị của tín hiệu ra tƣơng ứng. Tín hiệu vào V V1 V2 . Vn Trạng S thái Tín hiệu ra trong S1 → S2 : : Sn www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 124
  125. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.7.2. Bảng trạng thái (3) Tín hiệu vào V V1 V2 Vn S . Q/Zn1 n+1 S1 1 → Trạng thái kế tiếp Q và n1 Trạng thái S2 Q/Z2 tín hiệu ra Z trong : n1 Sn Q/Zi Bảng 5-12. Bảng chuyển đổi trạng thái và tín hiệu ra www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 125
  126. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.7.3. Đồ hình trạng thái . Đồ hình trạng thái là hình vẽ phản ánh quy luật chuyển đổi 1/ trạng thái và tình trạng các giá trị ở lối vào và lối ra tƣơng ứng 0/ 0 1 1/ của mạch tuần tự. 0/ . Đồ hình trạng thái là một đồ hình có hƣớng gồm hai tập: Q D Qk . M - Tập các đỉnh và K - Tập các 0 0 0 cung có hƣớng. 0 1 1 . Mô hình Mealy 1 0 0 . Mô hình Moore 1 1 1 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 126
  127. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.7.4. Phân tích mạch tuần tự - Lý thuyết . Viết chƣơng trình logic: Viết c.trình logic . Viết chƣơng trình logic cho lối vào đồng bộ, chỉ ra điểu kiện chuyển trạng thái của các phần tử nhớ. . Xác định hàm ra: Xác định hàm ra . Tìm hàm kích thích: . Căn cứ loại TG để tìm kích thích, phƣơng trình chuyển đổi trạng thái (chính là phƣơng trình đặc trƣng của TG đã cho). Tìm hàm kích thích . Phƣơng trình chuyển đổi trạng thái: . Xác định số tổ hợp trạng thái và thay các tổ hợp này vào các phƣơng trình kích thích, phƣơng trình chuyển đổi trạng thái Pt chuyển đổi TT để tính bảng chuyển đổi trạng thái. . Vẽ đồ hình trạng thái dƣới dạng nhị phân hoặc dạng rút gọn Đồ hình trạng thái . Vẽ đồ thị dạng xung gồm: . Xung đồng hồ, Đồ thị dạng xung . Xung của mỗi biến trạng thái, . Xung ra. Các bước phân tích mạch tuần tự www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 127
  128. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.7.4. Phân tích mạch tuần tự - Ví dụ . Bước 1: Sơ đồ có hai đầu vào là tín Z J Q hiệu X và xung nhịp Clock. Có một J0 Q0 1 1 tín hiệu Z ra, mạch sử dụng hai > > X K 1 1 Q1 K0 Q phần tử nhớ là hai trigơ JK (Q0 và 0 Q1). Clock . Bước 2: Xác định đầu vào, đầu ra và số trạng thái trong của mạch. . Mạch này có thể đƣợc biểu diễn bằng một “hộp đen” có hai đầu vào và một đầu ra. Do mạch đƣợc cấu tạo bằng hai trigơ nên số trạng thái có thể có của mạch là 4. Cụ thể là:Q1Q0 = 00, 01, 10 và 11. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 128
  129. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.7. 4.Phân tích mạch tuần tự - Ví dụ . Bước 3: Xác định phƣơng trình hàm ra và hàm kích cho trigơ. . Từ sơ đồ trên ta tìm đƣợc: . Phƣơng trình hàm ra: Z = C Q1 Q0 . Phƣơng trình hàm kích J0 = Q1; K0 = 1 Q0 X Q0 X Q0 J1 = ; K1 = . Bước 4. Bảng chuyển đổi trạng tháiQk JQ KQ . Phƣơng trình đặc trƣng của trigơ JK là . Phƣơng trình chuyển đổi trạng thái: k QJQKQQQ0 0 0 0 0 1 0 k QJQKQQQXQQQQXQQ1 11 1101 0101 01 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 129
  130. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.7.4. Phân tích mạch tuần tự - Ví dụ Trạng thái hiện tại Trạng thái kế tiếp Tín hiệu ra Q0Q1 X = 0 X = 1 X = 0 X = 1 Q0Q1 Q0Q1 Z Z S 00 01 01 0 0 0 00 S 01 10 11 0 0 S0 1 S 11 00 00 1 1 2 01 S 10 00 00 0 0 S1 3 X X . Bảng chuyển đổi trạng thái 11 S3 10 S2 Z = 1 . Bước 5: Đồ hình trạng thái. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 130
  131. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.7. 4.Phân tích mạch tuần tự - Ví dụ . Bƣớc 6: Chức năng của mạch: . Trên đồ hình trạng thái ta thấy có hai đƣờng chuyển đổi trạng thái là S0 → S1•→ S2 → S••0 và S0 → S1•→ S3 → S••0. . Theo đƣờng S0 → S1•→ S2 → S••0 thì tín hiệu ra Z = 1 sẽ đƣợc đƣa ra cùng thời điểm có xung nhịp thứ 3. . Theo đƣờng S0 → S1•→ S3 → S••0 thì không có tín hiệu ra (Z = 0). Do vậy ta sẽ phân tích theo con đƣờng thứ nhất S0 → S1•→ S2 → S••0 : Sự chuyển đổi trạng thái đầu tiên từ S0 → S1 chỉ nhờ tác động của xung nhịp mà không phụ thuộc vào trạng thái của X. . Chuyển đổi trạng thái thứ hai từ S1 S2 nhờ tác động của xung nhịp và sự tác động của tín hiệu vào X = 1. . Còn sự chuyển đổi trạng thái thứ ba từ S2 S0 chỉ nhờ tác động của xung nhịp mà không phụ thuộc vào tín hiệu vào. X 0 1 1 0 0 1 1 1 0 0 1 Clock 1 2 3 1 2 3 1 2 3   0  1 1 0  1 1 Z = C Q1 Q0 Z = Q1 Q0 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 131
  132. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.7.5. Thiết kế mạch tuần tự . Bài toán ban đầu: Bài toán ban đầu . Nhiệm vụ thiết kế đƣợc mô tả bằng ngôn ngữ hoặc bằng lƣu đồ thuật toán. . Hình thức hoá: . Từ các dữ kiện đề bài cho mà ta mô tả hoạt động của mạch bằng Hình thức hoá cách hình thức hoá dữ kiện ban đầu ở dạng bảng trạng thái, bảng ra hay đồ hình trạng thái. Sau đó rút gọn các trạng thái của mạch để có đƣợc số trạng thái trong ít nhất. . Mã hoá trạng thái: Mã hoá trạng thái . Mã hoá tín hiệu vào ra, trạng thái trong để nhận đƣợc mã nhị phân (hoặc có thể là các loại mã khác) có tập tín hiệu vào là X, tập tín hiệu ra là Y, tập các trạng thái trong là Q. Hệ hàm của mạch . Hệ hàm của mạch: . Xác định hệ phƣơng trình logic của mạch và tối thiểu hoá các phƣơng trình này. Nếu mạch tuần tự khi thiết kế cần dùng các trigơ và mạch tổ hợp thì tuỳ theo yêu cầu mà ta viết hệ phƣơng trình cho các lối vào kích cho từng loại trigơ đó. Sơ đồ . Xây dựng sơ đồ Các bước thiết kế mạch tuần tự www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 132
  133. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.7.5.1.Các bƣớc thiết kế mạch tuần tự đồng bộ . Bước 1: Xác định bài toán, gán hàm và biến, tìm hiểu Bài toán ban đầu mối quan hệ giữa chúng. . Bước 2: Xây dựng đồ hình trạng thái, bảng chuyển đổi Xây dựng đồ hình trạng thái trạng thái và hàm ra. bảng chuyển đổi trạng thái . Bước 3: Rút gọn trạng thái (tối thiểu hoá trạng thái). . Bước 4: Mã hoá trạng thái. Rút gọn trạng thái . Nếu số lƣợng trạng thái trong là N, số biến nhị phân cần dùng là n thì n phải thoả mãn điều kiện: n log2N. Mã hóa trạng thái . Bước 5: Xác định hệ phƣơng trình của mạch. Có hai cách xác định: . + Lập bảng chuyển đổi trạng thái và tín hiệu ra, từ đó Xác định hệ phƣơng xác định các phƣơng trình kích cho các trigơ. trình . + Dựa trực tiếp vào đồ hình trạng thái, viết hệ phƣơng trình Ton, Toff của các trigơ và phƣơng trình hàm ra. Sơ đồ . Bước 6: Vẽ sơ đồ thực hiện. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 133
  134. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.7.5.2. Các bƣớc thiết kế mạch tuần tự không đồng bộ . Bước 1: Xác định bài toán, gán hàm và biến, tìm hiểu mối quan hệ giữa chúng. . Bước 2: Xây dựng đồ hình trạng thái, bảng chuyển đổi trạng thái và hàm ra. . Bước 3: Rút gọn trạng thái (tối thiểu hoá trạng thái). . Bước 4: Mã hoá trạng thái. . Nếu số lƣợng trạng thái trong là N, số biến nhị phân cần dùng là n thì n phải thoả mãn điều kiện: n log2N. . Do mạch không đồng bộ hoạt động không có sự tác động của xung nhịp cho nên trong mạch thƣờng có các hiện tƣợng chạy đua làm cho hoạt động của mạch bị sai, vì vậy khi mã hoá trạng thái phải tránh hiện tƣợng này. . Bước 5: Xác định hệ phƣơng trình của mạch. Có hai cách xác định: . Lập bảng chuyển đổi trạng thái và tín hiệu ra, xác định các phƣơng trình kích cho trigơ. . Dựa trực tiếp vào đồ hình trạng thái, viết hệ phƣơng trình Ton, Toff của các trigơ và phƣơng trình hàm ra. . Cả hai cách này đều có dạng phƣơng trình: . Phƣơng trình của mạch chỉ dùng NAND. . Phƣơng trình của mạch dùng trigơ RS không đồng bộ và các mạch NAND. . Phƣơng trình của mạch dùng các loại trigơ khác. . Bước 6: Vẽ sơ đồ thực hiện. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 134
  135. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.7.5.3. Thiết kế mạch tuần tự . Cách 1: Dựa vào bảng chuyển đổi trạng thái. . Ký hiệu : A, B, N là các biến nhị phân dùng để mã hoá các trạng thái trong của mạch. X1, X2 Xm là các tín hiệu vào đã được mã hoá nhị phân. Z1, Z2 Zm là các tín hiệu ra đã được mã hoá nhị phân. Dựa vào bảng chuyển đổi trạng thái xác định hệ phương trình: Ak = fA (A, B, N , X1, X2 Xm ) Bk = fB (A, B, N , X1, X2 Xm ) Nk = fN (A, B, N , X1, X2 Xm ) Z1 = g1 (A, B, N , X1, X2 Xm ) Z2 = g2 (A, B, N , X1, X2 Xm ) Zn = gn (A, B, N , X1, X2 Xm ) Tối thiểu hoá hệ hàm và viết phương trình ở dạng chỉ dùng NAND. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1
  136. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.7.5.3. Thiết kế mạch tuần tự . Cách 2: Dựa trực tiếp vào đồ hình trạng thái . Cho đồ hình trạng thái của mạch có tập tín hiệu vào V, tập tín hiệu ra R, tập trạng thái trong S (chƣa mã hoá nhị phân). . Các bƣớc thiết kế . Mã hoá tín hiệu vào V, tín hiệu ra R, trạng thái trong S để chuyển thành mạch dạng nhị phân có các tập tín hiệu vào X, tín hiệu ra Y, trạng thái trong Q. . Xác định hệ phƣơng trình tín hiệu ra: Yi = fi (X, Q). Phƣơng trình này đƣợc xác định trên các cung với mô hình kiểu Mealy, trên các đỉnh với mô hình kiểu Moore. Tối thiểu các hàm này. . Xác định hệ phƣơng trình hàm kích cho các trigơ và tối thiểu hoá nó. . Sau đây giới thiệu thuật toán xác định phƣơng trình lối vào kích cho các trigơ từ đồ hình trạng thái. . Đối với trigơ Qi bất kỳ sự thay đổi trạng thái từ Qi đến Qki chỉ có thể có 4 khả năng. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 136
  137. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.7.5.3. Thiết kế mạch tuần tự . các cung biểu diễn sự thay đổi từ đến đƣợc Q = 0 ký hiệu nhƣ sau: i Qi = 1 . 0 0 là (0) 0 1 2 . 1 1 (là 1) . 0 1 là (2) 3 . 1 0 là (3). . Thuật toán xác định phương trình lối vào kích cho trigơ Qi loại D. n1 QDii n1 DQii . = tuyển tất cả các cung đi tới đỉnh có Qi = 1. . =  các cung loại (2), kể cả khuyên tại đỉnh đó tức là cung loại 1 . =  (1) và (2) www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 137
  138. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.7.5.4. Ví dụ . Thiết kế bộ đếm đồng bộ có Mđ = 5 0 000 ' Q1 Q2Q1 Q3 00 01 11 10 100 4 1 001 0 0 1 3 2 ''' '' QQQ3 2 1 QQ21 1 4 x x x 011 3 2 010 Bảng 5-16. Bảng mã hóa trạng thái ' Q1 Hình 5-38. Đồ hình trạng thái Q2Q1 Q2Q1 Q3 00 01 11 10 Q3 00 01 11 10 0 1 2 4 3 0 0 0 0 0 1 1 0 x x x 1 x x x Q3 \ Y = Q3 Bảng 5-17. Bảng chuyển đổi trạng thái Bảng 5-18. Bảng Các nô tìm hàm ra www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 138
  139. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.7.5.4. Ví dụ Dùng trigơ D . Nhìn vào đồ hình trạng thái ta thấy: Q3 = 1 tại đỉnh (4), Q2 = 1 tại đỉnh (2), (3), Q2 = 1 tại đỉnh (1), (3). . D3 =  Các cung đi đến đỉnh (4) = (3) = QQQ3 2 1 . D2 =  Các cung đi đến đỉnh (2), (3) = (1) + (2) = QQQQQQ3 2 1 3 2 1 . D1 =  Các cung đi đến đỉnh (1), (3) = (0) + (2) = QQQQQQ3 2 1 3 2 1 . Từ đó ta lập bảng Các nô để tối thiểu hóa hàm Di www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 139
  140. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.7.5.4. Ví dụ Dùng trigơ D D3 = Q2Q3 D2 = Q2 Q3 Q2 Q3 Q2 Q3 D1 = Q1 Q3 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 140
  141. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.8. Một số mạch tuần tự thông dụng . Bộ đếm . Bộ ghi dịch . www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 141
  142. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.8.1. Bộ đếm . Định nghĩa : Bộ đếm là một mạch tuần tự tuần hoàn có một lối vào đếm và một lối ra, mạch có số trạng thái trong bằng chính hệ số đếm (ký hiệu là Md). . Dƣới tác dụng của tín hiệu vào đếm, mạch sẽ chuyển từ trạng thái trong này đến một trạng thái trong khác theo một thứ tự nhất định. . Cứ sau Md tín hiệu vào đếm mạch lại trở về trạng thái xuất phát ban đầu. . Bộ đếm đƣợc dùng nhiều trong các dụng cụ đo lƣờng chỉ thị số, các máy tính điện tử và trong các hệ thống số khác. Xd/0 Xd/0 Xd/0 Xd/0 Xd/0 Y Xd/0 Xd/0 Xd/0 Xd/0 Xd Bộ đếm 0 1 2 Md-2 Md-1 Hệ số đếm = Md Xd/1 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 142
  143. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.8.1. Bộ đếm: 5.8.1.1.Bộ Phân loại: . Theo sự chuyển đổi trạng thái: . Bộ đếm đồng bộ (Synchronous): Các trigơ đều chịu tác dụng điều khiển của một xung đồng hồ duy nhất . Bộ đếm không đồng bộ (Asynchronous): có trigơ chịu tác dụng điều khiển trực tiếp của xung đếm đầu vào, nhƣng cũng có trigơ chịu tác dụng điều khiển của xung ở đầu ra của trigơ khác . . Theo hệ số đếm . Bộ đếm nhị phân . Bộ đếm thập phân . Bộ đếm N phân . Theo xung đếm . Bộ đếm thuận (Up counter) hay còn gọi là bộ đếm tiến . Bộ đếm nghịch (Down counter) hay còn gọi là bộ đếm lùi . Bộ đếm thuận nghịch www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 143
  144. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.8.1. Bộ đếm: 5.8.1.2.Bộ đếm đồng bộ Q1 Q2 Q3 Q4 1 J Q J Q J Q J Q o> F1 o> F2 o F3 o F4 _ _ > _ > _ K Q K Q K Q K Q CLEAR CLK Hình 5-60. Bộ đếm thuận nhị phân đồng bộ 4 bit www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1
  145. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.8.1. Bộ đếm: 5.8.1.2.Bộ đếm đồng bộ n Q n n n n 1 n 1 n 1 n 1 Q4 3 Q2 Q1 Q4 Q3 Q2 Q1 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 0 1 1 0 0 1 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 1 0 1 1 1 1 0 0 0 1 0 0 0 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 1 1 1 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 1 1 0 1 1 1 1 0 1 1 1 0 1 1 1 1 1 1 1 1 0 0 0 0 Bảng 5-38. Bảng trạng thái của bộ đếm www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1
  146. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.8.1. Bộ đếm: 5.8.1.2.Bộ đếm đồng bộ /0 /0 /0 /0 /0 /0 /0 0000 0001 0010 0011 0100 0101 0110 0111 /0 /1 /0 /0 /0 /0 /0 /0 1111 /0 1110 1101 1011 1010 1001 1000 0111 Hình 5-61. Đồ hình trạng thái của bộ đếm nhị phân 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 CLK Q1 Q2 Q3 Q4 Hình 5-62. Dạng sóng bộ đếm thuận nhị phân đồng bộ www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1
  147. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.8.1. Bộ đếm: 5.8.1.2. Bộ đếm đồng bộ Phân tích và cho biết chức năng của mạch www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1
  148. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.8.1. Bộ đếm: 5.8.1.3. Bộ đếm không đồng bộ Phân tích và cho biết chức năng của mạch www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1
  149. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.8.1. Bộ đếm: 5.8.1.3. Bộ đếm không đồng bộ Phân tích và cho biết chức năng của mạch www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1
  150. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.8.1.4. IC đếm-IC 74192, 74193 . Trong các bộ đếm này, khi thức hiện đếm thuận thì xung Clock đƣợc nối với CLK-UP, còn chân CLK-DOWN đƣợc nối với logic 1; khi đếm nghịch thì ngƣợc lại. . Các chân CARRY (nhớ) và BORROW (mƣợn) có logic 1 và nó sẽ chuyển mức thấp khi tràn mức hoặc dƣới mức. . Chân LOAD = 0 có thể nạp dữ liệu vào bộ đếm. Lối ra QA QB QC QC(MSB) Carry Borrow CLK - UP CLK - DOWN 74192, 74193 CLEAR PA PB PC PD L (MSB) Lối vào nạp dữ liệu www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 150
  151. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.8.1.4. IC đếm-IC 7490, 74390 . Nó bao gồm 4 trigơ cung cấp bộ đếm gồm hai Mod đếm: Mod 2 và Mod 5. . Các bộ đếm Mod 2 và Mod 5 có thể đƣợc sử dụng một cách độc lập. . Trigơ A thực hiện đếm Mod 2, Trigơ B, C, D thực hiện đếm Mod 5. . IC 74390 là bản kép (dual) của 7490 Lối ra QA QB QC QD MSB Trigơ A TGB TGC TGD CLKA (Bộ đếm Bộ đếm Mod 5 Mod 2) CLKB R1 R2 S1 S2 Lối vào xóa Lối vào lập www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 151
  152. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.8.1.4. IC đếm-IC 7492, 7493, IC 74293, 74393 . Nó bao gồm 4 trigơ cung cấp bộ đếm gồm hai Mod đếm: Mod 2 và Mod 6 hoặc mod 8. . Trigơ A thực hiện đếm Mod 2, Trigơ B, C, D thực hiện đếm Mod 5. . Hoạt động của những bộ đếm này giống nhƣ IC 7490, chỉ khác là không có các lối vào lập và Mod 6 không đếm theo trình tự nhị phân. . Các IC này thƣờng không dùng làm các bộ đếm mà dùng làm bộ chia tần Lối ra QA QB QC QD MSB Trigơ A TGB TGC TGD Mod 6 của IC 7492 CLK (Bộ đếm A Mod 8 của IC 7493/74293 Mod 2) CLKB R1 R2 Lối vào xóa www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 152
  153. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.8.1.4. IC đếm-dùng IC thiết kế bộ đếm bất kỳ . Một số bộ đếm có các chân xóa (CLR), lối nạp dữ liệu, chân RC (ripple carry) ra có thể lập trình đƣợc . VD IC 74192, 74193 . Để tìm một bộ đếm chia hết cho m thì đầu vào nạp P đƣợc cho bởi công thức: P=(16-m) (nếu dùng bộ đếm hex) hoặc =10-m nếu dùng bộ đếm thập phân . Khi bộ đếm đếm tới giá trị m thì dùng giá trị này để nối vào chân CLR. Nhiệm vụ của chân Clear là gặp bit 1 thì xóa về 0. Nếu số bit 1 nhiều hơn số chân Clear thì ta phải dùng thêm cổng NAND (hoặc cổng AND) tùy mức tích cực của chân Clear . Nếu bộ đếm không bắt đầu từ 0 (VD đếm từ n đến m) thì phải nạp giá trị n khi bắt đầu đếm lại) www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 153
  154. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.8.2. Bộ ghi dịch . Có khả năng ghi (nhớ) số liệu và dịch thông tin (sang phải hoặc sang trái). . Đƣợc cấu tạo từ một dãy phần tử nhớ đƣợc mắc liên tiếp với nhau và một số các cổng logic cơ bản hỗ trợ. . Muốn ghi và truyền một từ nhị phân n bit cần n phần tử nhớ (n trigger) www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 154
  155. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.8.2. Bộ ghi dịch-Phân loại . Phân theo cách đƣa tín hiệu vào và lấy tín hiệu ra: . Vào nối tiếp, ra song song– SIPO (Serial Input, Parallel Output) . Vào song song, ra song song – PIPO (Parallel Input, Parallel Output) . Vào nối tiếp, ra nối tiếp – SISO (Serial Input, Serial Output) . Vào song song, ra nối tiếp – PISO (Parallel Input, Serial Output): . Phân theo hƣớng dịch: . Dịch phải, dịch trái, dịch hai hƣớng, dịch vòng . Phân theo đầu vào: . Đầu vào đơn: . Đầu vào đôi: . Phân theo đầu ra: . Đầu ra đơn: . Đầu ra đôi: www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 155
  156. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.8.2. Bộ ghi dịch-Ứng dụng . nhớ dữ liệu . chuyển dữ liệu từ song song thành nối tiếp và ngƣợc lại. . để thiết kế bộ đếm . tạo dãy tín hiệu nhị phân tuần hoàn . Một số IC ghi dịch (giáo trình DTS mục 5.9.4) www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 156
  157. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.8.2. Bộ ghi dịch-Bộ ghi dịch song song . Các số liệu cần ghi đƣa vào D1, D2, D3, D4 . Khi có một xung điều khiển ghi đƣa tới lối vào CLK, dữ liệu đƣợc nạp vào bộ nhớ song song và cho lối ra song song Q1 Q2 Q3 Q4 = D1 D2 D3 D4. Vào song song . D1 D2 D3 D4 Ra nối tiếp D SD Q D SD Q D SD Q D SD Q F1 F2 F3 F4 _ _ _ >CLK _ > CLK >CLK > CLK Q Q Q Q CD CD CD CD Xóa Ghi Điều khiển ra Q1 Q2 Q3 Q4 Ra song song www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 157
  158. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.8.2. Bộ ghi dịch-Bộ ghi dịch nối tiếp . Có thể dịch phải, dịch trái và cho ra song song hoặc ra nối tiếp . Muốn ghi nối tiếp 4 bit cần 4 xung CLK và cho ra ở lối ra song song. . Còn để lấy số liệu ra nối tiếp cần thêm 3 xung nhịp nữa Vào nối tiếp Ra nối tiếp D SD Q D SD Q D SD Q D SD Q F1 F2 F3 F4 _ _ _ >CLK _ > CLK >CLK > CLK Q Q Q Q CD CD CD CD Xóa Ghi Điều khiển ra Q1 Q2 Q3 Q4 Ra song song www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 158
  159. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.8.2. Bộ ghi dịch-Bộ đếm vòng Q1 Q2 Q3 Q4 D SD Q D SD Q D SD Q D SD Q 1000 0100 F1 F2 F3 F4 _ _ _ >CLK _ >CLK >CLK >CLK Q Q Q Q CD CD CD CD 0001 0010 Xóa CLK 0000 1001 Q1 Q2 Q3 Q4 1110 1000 0100 0111 0011 0001 0010 0101 1011 D SD Q D SD Q D SD Q D SD Q F1 F2 F3 F4 _ _ _ >CLK _ >CLK >CLK >CLK Q Q Q Q 1111 0110 1101 1010 CD CD CD CD Xóa 1100 CLK www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 159
  160. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.8.2. Bộ ghi dịch-Bộ đếm vòng xoắn (mã Johnson) . là bộ dếm có số bit 1 trong từ mã tăng dần, sau đó lại giảm dần. . Tƣơng tự có bộ đếm vòng xoắn tự khởi động. DQ1n Q1 Q2 Q3 Q4 D SD Q D SD Q D SD Q D SD Q F1 F2 F3 F4 _ _ _ 0000 1000 1100 1110 >CLK _ > CLK >CLK > CLK Q Q Q Q CD CD CD CD Xóa 0001 0011 0111 1111 CLK www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 160
  161. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 5.9. Thanh chốt dữ liệu (Latch) . là mạch logic số đƣợc dùng để lƣu trữ trạng thái số (1 hoặc 0) trong bộ lƣu trữ dữ liệu. . thƣờng đƣợc sử dụng trong các mạch giao tiếp Bus dữ liệu, các bộ phân kênh, hợp kênh, và trong các mạch điều khiển Dn LE OE On H ↑ L H L L L X X H Z Bảng 5-64b. Bảng chức năng của IC 74374 Dn LE OE On H H L H L H L L X L L Q0 X X H Z Bảng 5-64a. Bảng chức năng của IC 74373 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 161
  162. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ Nội dung Chƣơng 1: Hệ đếm Chƣơng 2: Đại số Boole và các phƣơng pháp biểu diễn hàm Chƣơng 3: Cổng logic TTL và CMOS Chƣơng 4: Mạch logic tổ hợp Chƣơng 5: Mạch logic tuần tự • Chƣơng 6: Mạch phát xung và tạo dạng xung Chƣơng 7: Bộ nhớ bán dẫn. Chƣơng 8: cấu kiện logic khả trình (PLD) Chƣơng 9: Ngôn ngữ mô tả phần cứng (VHDL) www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 162
  163. Headline (Times New RomanBÀI GIẢNG Black MÔN : ĐIỆN 36pt.) TỬ SỐ CHƢƠNG 6. MẠCH PHÁT XUNG VÀ TẠO DẠNG XUNG www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 163
  164. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ Nội dung . Mạch phát xung . Mạch dao động đa hài cơ bản cổng NAND TTL . Mạch dao động đa hài vòng RC . Mạch dao động đa hài thạch anh . Mạch dao động đa hài CMOS . Trigơ Schmit . Mạch đa hài đợi . Mạch đa hài đợi CMOS . Mạch đa hài đợi TTL . IC định thời www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 164
  165. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 6.1. Mạch phát xung . Mạch dao động đa hài cơ bản cổng NAND TTL . Mạch dao động đa hài vòng RC . Mạch dao động đa hài thạch anh . Mạch dao động đa hài CMOS www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 165
  166. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 6.1.1. Mạch dao động đa hài cơ bản cổng NAND TTL (1) . Cổng NAND khi làm việc trong vùng chuyển tiếp có thể k.đại mạnh tín hiệu đầu vào. 2 cổng NAND đƣợc ghép điện dung thành mạch vòng thì có bộ dao động đa hài. VK là đầu vào điều khiển, khi ở mức cao mạch phát xung, và khi ở mức thấp mạch V ngừng phát. o2 VH VL 0 f1 R f2 R t C2 VH - VL + VT Vi2  p 1 = R f1 C 2 Vi1 Vo1 Vi2 VT Vo2 I II 0 t 1 Vo1  =(R // R )C VK C n1 1 f2 1 VH VL 0 Hình 6.1 t VH - VL + VT  p 2 = R f2 C 1 VT 0 t  n 2 = ( R 1 / / R f1 ) C 2 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 166
  167. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 6.1.2. Mạch dao động đa hài thạch anh . Để có các tín hiệu đồng hồ có tần số chính xác và có độ ổn định cao, các mạch đa hài trình bày trên đây không đáp ứng đƣợc. Tinh thể thạch anh thƣờng đƣợc sử dụng trong các trƣờng hợp này. Thạch anh có tính ổn định tần số tốt, hệ số phẩm chất rất cao dẫn đến tính chọn lọc tần số rất cao. . Tần số của mạch dao động chỉ phụ thuộc vào tinh thể thạch anh mà không phụ thuộc vào giá trị các tụ điện và điện trở trong mạch C R1 2 R2 V o VK f 0 C1 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 167
  168. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 6.1.3. Mạch đa hài đợi . Độ rộng xung tại đầu ra của mạch đƣợc xác định bằng công thức sau: ED TRRCW0ln EVDT trong đó R0 là điện trở đầu ra của cổng 1, nếu VT=ED/2 thì: TRRCW00,7 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 168
  169. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 6.2. Trigơ Schmit www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1
  170. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 6.3. IC định thời (1) 8 4 R 5 + - 6 Chân Chức năng Chân Chức năng R 1 Đất - GND 5 Điện áp điều khiển R 2 Chân kích thích 6 Chân ngƣỡng 2 + 3 - 3 Đầu ra 7 Đầu phóng điện S 7 4 Xoá - Reset 8 Nguồn – Vcc R R1 Bảng 6-1. Bảng mô tả chức năng của các chân trong IC Q1 1 Mạch điện IC 555. Ground 1 8 VCC Trigger 2 7 Discharge Output 3 6 Threshold Reset 4 5 Control Voltage www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 170
  171. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 6.3.1. Tạo mạch đơn ổn . Khi chân 2 nhận kích thích (nối đất), ta thấy S~ sẽ lập Q 8 4 lên 1 và xung sẽ xuất hiện ở lối ra 3. Lúc này, Q~ = 0 nên Q1 khóa. Tụ C nạp điện. Khi điện thế trên tụ (chân 6) vƣợt R 5 + - quá 2/3Vcc thì R~ = 0, do đó Q~ = 1. Xung lỗi ra kết thúc, 6 R Q1 thông và tụ C phóng rất nhanh qua Q1. Trạng thái này R 2 + 3 giữ nguyên cho tới xung kích thích sau (nên chọn R1 lớn - S để không nóng transistor Q1) 7 R Q R1 . Độ rộng xung ra đƣợc tính theo công thức: T = 1,1RC 1 1 +Vcc Kích R thích 8 4 2/3Vcc 6 Điện thế trên tụ 555 3 Ra C 7 Vào 2 1 5 Xung ra + C - C1 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 171
  172. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 6.3.2. Tạo mạch dao động đa hài . Chân 2, 6 và tụ C đƣợc nối với nhau, nên điện thế 8 4 trên tụ sẽ điều khiển đồng thời cả hai bộ so áp. Nếu điện thế này vƣợt quá mức ngƣỡng 2/3Vcc, thì xung R 5 + - trên đầu ra của TG sẽ bị xoá. Ngƣợc lại, khi tụ 6 R phóng xuống dƣới mức 1/3 Vcc thì xung ra lại đƣợc R 2 + 3 lập. Quá trình này sẽ tiếp diễn và cho một chuỗi xung - S ở lối ra. 7 R Q R1 . Chu kì của dao động sẽ là: 1 1 T = TN + TP . TN là thời gian nạp và đƣợc tính theo công thức: +Vcc V TN = 0,7C (R1+ R2) CC 2/3VCC R1 . TP thời gian phóng và bằng: 8 4 Điện 7 1/3VCC thế trên 555 R2 3 Ra 0 tụ C TP = 0,7.C.R2 6 2 1 5 Xung ra + . Nhƣ vậy: T = 0,7C (R + 2R ) C 1 2 - C1 www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 172
  173. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 6.3.3.Tạo mạch dao động – xung vuông 8 4 . Các biểu thức trên chỉ ra rằng dãy xung ra R 5 + - chỉ vuông đều khi TN và TP bằng nhau, 6 R nghĩa là R = 0. Điều này không thực tế, vì R 1 2 + 3 - S lúc đó cực C của Q1 nối trực tiếp với Vcc. 7 R Khi Q dẫn điện xem nhƣ nguồn Vcc bị R 1 Q1 1 ngắn mạch. Có thể cân bằng T và T bằng N P 1 các diode phụ nhƣ chỉ ở hình bên. +Vcc R1 8 4 D1 . Tần số dao động của chuỗi xung ra là: 7 R 1,4 2 555 3 Ra f 6 D CRR 12 2 2 2 1 5 + . Với R1 = R2 = R thì (có Diod): 0,7 C f - C1 CR Hình 6. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 173
  174. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ Nội dung Chƣơng 1: Hệ đếm Chƣơng 2: Đại số Boole và các phƣơng pháp biểu diễn hàm Chƣơng 3: Cổng logic TTL và CMOS Chƣơng 4: Mạch logic tổ hợp Chƣơng 5: Mạch logic tuần tự Chƣơng 6: Mạch phát xung và tạo dạng xung • Chƣơng 7: Bộ nhớ bán dẫn. Chƣơng 8: cấu kiện logic khả trình (PLD) Chƣơng 9: Ngôn ngữ mô tả phần cứng (VHDL) www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 174
  175. Headline (Times New RomanBÀI GIẢNG Black MÔN : ĐIỆN 36pt.) TỬ SỐ CHƢƠNG 7. BỘ NHỚ BÁN DẪN www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 175
  176. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ Nội dung . Khái niệm chung . DRAM . SRAM . Bộ nhớ cố định – ROM . Bộ nhớ bán cố định . Mở rộng dung lƣợng bộ nhớ www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 176
  177. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 7.1. Khái niệm chung . Khái niệm: . Bộ nhớ là một thiết bị có khả năng lƣu trữ thông tin (nhị phân). Muốn sử dụng bộ nhớ, trƣớc tiên ta phải ghi dữ liệu và các thông tin cần thiết vào nó, sau đó lúc cần thiết phải lấy dữ liệu đã ghi trƣớc đó để sử dụng. Thủ tục ghi vào và đọc ra phải đƣợc kiểm soát chặt chẽ, tránh nhầm lẫn nhờ định vị chính xác từng vị trí ô nhớ và nội dung của nó theo một mã địa chỉ duy nhất. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 177
  178. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 7.1. Khái niệm chung . Những đặc trƣng chính của bộ nhớ . Dung lƣợng của bộ nhớ. Dung lƣợng bộ nhớ là số bit thông tin tối đa có thể lƣu giữ trong nó. Dung lƣợng cũng có thể biểu thị bằng số từ nhớ n bit. Từ nhớ n bit là số bit (n) thông tin mà ta có thể đọc hoặc ghi đồng thời vào bộ nhớ. . Cách truy cập thông tin: Có 2 cách là trực tiếp và gián tiếp Truy cập trực tiếp, hay còn gọi là truy cập ngẫu nhiên (random access). Ở cách này, không gian bộ nhớ đƣợc chia thành nhiều ô nhớ. Mỗi ô nhớ chứa đƣợc 1 từ nhớ n bit và có một địa chỉ xác định, mã hoá bằng số nhị phân k bit. Mỗi bộ nhớ có k bit địa chỉ sẽ có 2k ô nhớ và có thể ghi đƣợc 2k từ nhớ n bit. Truy cập tuần tự (serial access) hay còn gọi là kiểu truy cập tuần tự. Các đĩa từ, băng từ, trống từ, thanh ghi dịch có kiểu truy cập này. Các bit thông tin đƣợc đƣa vào và lấy ra một cách tuần tự. . Tốc độ truy cập thông tin. Đây là thông số rất quan trọng của bộ nhớ. Nó đƣợc đặc trƣng bởi thời gian cần thiết để truy cập thông tin. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 178
  179. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 7.1. Khái niệm chung – Phân loại bộ nhớ BỘ NHỚ BÁN DẪN Bộ nhớ cố định ROM Bộ nhớ bán cố định Bộ nhớ đọc/viết MROM PROM EPROM EEPROM SRAM DRAM . Dựa trên thời gian viết và cách viết, có thể chia thành: bộ nhớ cố định, bộ nhớ bán cố định và bộ nhớ đọc/viết đƣợc. . Bộ nhớ cố định ROM (Read Only Memory): có nội dung đƣợc viết sẵn một lần. . MROM: là loại ROM sau khi đã đƣợc viết (bằng mặt nạ-mask) từ nhà máy thì không viết lại đƣợc nữa. . PROM là một dạng khác, các bit có thể đƣợc viết bằng thiết bị ghi của ngƣời sử dụng trong một lần (Programmable ROM). . Bộ nhớ có thể đọc/ viết nhiều lần RAM (Random Access Memory) gồm hai loại: . RAM tĩnh-SRAM (Static RAM) thƣờng đƣợc xây dựng trên các mạch điện tử trigơ. . RAM động-DRAM (Dynamic RAM) đƣợc xây dựng trên cơ sở nhớ các điện tích ở tụ điện; www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 179
  180. BÀI GIẢNG MÔN : ĐIỆN TỬ SỐ 7.1. Khái niệm chung – Phân loại bộ nhớ . Giữa ROM và RAM có một lớp các bộ nhớ đƣợc gọi là EPROM (Erasable PROM), dữ liệu trong đó có thể xoá đƣợc bằng tia cực tím và ghi lại đƣợc, EEPROM (Electric EPROM) có thể xoá đƣợc bằng dòng điện. Các loại này còn đƣợc gọi là bộ nhớ bán cố định. . Các bộ nhớ DRAM thƣờng thoả mãn những yêu cầu khi cần bộ nhớ có dung lƣợng lớn; trong khi đó khi cần có tốc độ truy xuất lớn thì phải dùng các bộ nhớ SRAM có giá thành đắt hơn. Nhƣng cả hai loại này đều có nhƣợc điểm là thuộc loại “bay hơi” (volatile), thông tin sẽ bị mất đi khi nguồn nuôi bị ngắt. Do vậy các chƣơng trình dùng cho việc khởi động PC nhƣ BIOS thƣờng phải nạp trên các bộ nhớ ROM. www.ptit.edu.vn GIẢNG VIÊN: ThS. TRẦN THÚY HÀ V1.0 BỘ MÔN: KỸBài THUẬT giảng ĐIỆNĐiện tửTỬ số- KHOA KTDT1 180