Bài tập môn Điện tử số - Lê Hải Sâm

pdf 48 trang haiha333 07/01/2022 2330
Bạn đang xem 20 trang mẫu của tài liệu "Bài tập môn Điện tử số - Lê Hải Sâm", để tải tài liệu gốc về máy bạn click vào nút DOWNLOAD ở trên

Tài liệu đính kèm:

  • pdfbai_tap_mon_dien_tu_so_le_hai_sam.pdf

Nội dung text: Bài tập môn Điện tử số - Lê Hải Sâm

  1. Họ và tên: Lê Anh Tiến SHSV: 20092698 Lớp Tin học công nghiệp - kĩ sư chất lượng cao K54 Số điện thoại: 01696572668 ĐIỆN TỬ SỐ BÀI TẬP - Bài tập trong cuốn : Điện Tử số - Của tác giả Lương Ngọc Hải-Lê Hải Sâm- Nguyễn Trịnh Đường- Trần Văn Tuấn PHẦN I: BÀI TẬP TRONG SÁCH Chương 1- KIẾN THỨC CỞ CỦA KĨ THUẬT SỐ 1.1 - Trong điều khiển kĩ thuật, điều khiển kinh tế ta thường gặp những bài toán mà thông tin cho trước (dữ liệu vào) và các đáp ứng của bài toán, đều chỉ có thể ở một trong hai trạng thái đối kháng nhau: đúng/sai, nóng/lạnh Các bài toán điều khiển như vậy gọi là bài toán logic. - Đại số Boole là công cụ để giải những bài toán logic. Các biến trong đại số Boole gọi là biến logic. Nó chỉ có 2 giá trị, kí hiệu là 1/0, đặc trưng cho hai trạng thái đối kháng của một hiện tượng. Hai giá trị của biến logic hoàn toàn không có ý nghĩa về lượng. - Trong các mạch logic điện, điện áp mang thông tin về hai giá trị của biến logic, và nó chỉ có thể nằm ở hai miền giá trị hoàn toàn phân biệt nhau, gọi là hai mức logic, gồm mức cao H và mức thấp L. 1.2 - Mạch logic gồm những linh kiện, chủ yếu là các khóa đóng/mở, ghép nối với nhau; nhằm thực hiện những quan hệ logic cho trước. Tuyệt đại đa số các mạch logic hiện nay là mạch logic điện. Nếu các khóa đóng/mở trong mạch logic điện là tiếp điểm của các rơ le điện từ, thì mạch gọi là mạch logic tiếp điểm (hay mạch logic rơ le). Nếu dùng trangzito hay điot làm khóa đóng mở thì gọi là mạch logic điện tử. - Nếu một mạch logic, mức thấp L đặc trưng cho giá trị 0 logic, mức cao H đặc trưng cho mức 1 logic thì mạch gọi là mạch logic dương. Ngược lại, mức cao H đặc trưng cho giá trị 0 logic, mức thấp L đặc trưng cho mức 1 logic thì mạch gọi là mạch logic âm. 1
  2. 1.3 A B C A B AB AB AB+ AB+ A B C A B AA BB+ A.1 B+1 2
  3. A B C A B ABC A+B+C ABC ABC+ + ABÅ ABÅ ABÅ ABCÅÅ 1.4 a- Bữa trưa ở nhà máy: Đầu vào: -Mua/Không mua bánh mì kẹp. -Lấy /Không láy canh. -Lấy /Không lấy rau trộn. Đầu ra: -Đưa/Không đưa bánh mì kẹp. -Đưa/Không đưa canh. -Đưa/Không đưa rau trộn. b- Đăng kí giáo trình: Đầu vào: 3
  4. -Đăng kí/ Không đăng kí học luật. -Đăng kí/ Không đăng kí học sử. -Đăng kí/ Không đăng kí học Anh. -Đăng kí/ Không đăng kí học Pháp. Đầu ra: -Xác nhận học/ không học sử. -Xác nhận học/ không học luật. -Xác nhận học/ không học Anh. -Xác nhận học/ không học Pháp. c- Người công nhân sơn tường: Đầu vào: -Chọn sơn/không sơn tường màu vàng. -Chọn sơn/không sơn tường màu xanh. Đầu ra: -Nhà được/không được sơn màu vàng. -Nhà được/không được sơn màu xanh. 1.5 a- A+ AD = A(D + 1) = A b- A+ AD = A + D c- XYZ+ XY = X(YZ + Y) = X(Y + Z) d- A+ B + AB = A + B + A + B = 1 e- B+ BE = B + E f- ABC+ ABC + B = AB + B = A + B g- ABC+ AC + C = C(AB + A) + C = CA + C = A + C 1.6 a- ABC+ ABC + C = BC + C = B + C b- ABC+ ABC + ABC = ABC + BC = C(AB + B) = C(A + B) = CAB c- ABC+ ABC + ABC + ABC = (ABC + ABC) + (ABC + ABC) + (ABC + ABC) =AB + BC + CA d- AB+ BC + AC = ABC + ABC + BC + AB = AB + BC 1.7 a- (A+ B)(A + B) = AB + B + BA = B b- (A+ B + C)(A + B + C)(A + B + C) = (A + B)(A + B + C) =A + BA + AB + AC + BC = A + AC + BC = A + BC 4
  5. c- (A+ B)(B + C)(C + A) = (AB + AC + BC)(C + A) =CBA + AC + BC + AB + AC + ABC = AC + BC + AB 1.8 a- U3 U1 C NOT U4 A AND_2 Q B U2 OR AND_2 Q(A,B,C)= CA + BC A B C CA BC Q 0 0 0 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 1 1 0 1 1 1 0 0 1 0 1 1 0 1 0 0 0 1 1 0 1 0 1 1 1 1 0 1 1 b- U5 U2 A NOT B OR_3 U1 U4 C U6 Q D OR_3 AND_3 NOT U3 OR_3 Q(A,B,C,D)= (A + B + C)(A + B + D)(B + A + D) A B C D ABC+ + ABD+ + BAD+ + Q(A, B,C, D) 0 0 0 0 1 1 0 0 0 0 0 1 1 1 1 1 0 0 1 0 1 1 0 0 0 0 1 1 1 1 1 1 0 1 0 0 1 1 1 1 0 1 0 1 1 1 1 1 5
  6. 0 1 1 0 1 1 1 1 0 1 1 1 1 1 1 1 1 0 0 0 0 1 1 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 1 1 1 0 1 1 1 0 1 0 1 1 0 0 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1.9 a- Q(A,B,C)= CA + BC = CA + BC = CACB U1 A U3 B NAND_2 U2 U4 Q C NAND_2 NAND_2 NAND_2 Q(A,B,C,D)= (A + B + C)(A + B + D)(B + A + D) b- =(A + B + C) + (A + B + D) + (B + A + D) U1 U3 A NOR_2 NOR_3 B U4 U6 Q C NOR_3 NOR_3 U5 U2 D NOR_3 NOR_2 1.10 a- Q1 (A, B,C)= AC + AB + BC = ACABBC 6
  7. U1 U3 A U6 NAND_2 NAND_2 U4 NAND_2 U7 B NAND_2 Q U2 U5 C NAND_2 NAND_2 NAND_2 b- Q(A,B,C)2 = (A + B)(B + C)(C + A) = (A + B) + (B + C) + (C + A) U5 A NOR_2 U1 U3 U7 B U6 Q NOR_2 NOR_2 NOR_2 U2 U4 C NOR_2 NOR_2 NOR_2 1.11 Bảng trạng thái C B A Q 0 0 0 0 0 0 1 0 0 1 0 1 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 0 1 1 1 1 Q(A,B,C)= CBA + CBA + CBA + CBA = BA + CA + CBA =CA + B(A + CA) = CA + B(A + C) = CA + BA + BC U1 A B AND_2 C U2 U5 Q AND_2 OR_3 U3 U4 AND_2 NOT 7
  8. Q(A,B,C)= CA + BA + BC = CABABC U4 A NAND_2 B U3 U5 Q C NAND_2 AND_3 U2 U1 NAND_2 NAND_2 1.12 Gọi (A1,A2) ,(B1,B2), (C1,C2), (D1,D2) là tín hiệu của hệ thống an toàn và báo cháy của xe taxi tại 4 vị trí trong xe. U1 A1 A2 OR_2 U2 B1 U5 B2 OR_2 U3 Q C1 OR_4 C2 OR_2 U4 D1 D2 OR_2 1.13 - Mở cổng HOẶC, cho dữ liệu A qua: b1 b 2 b 3 = 010 - Đóng cổng VÀ, chặn lại dữ liệu A: b1 b 2 b 3 = 100 - Đóng cổng HOẶC, chặn dữ liệu A: b1 b 2 b 3 = 010 -Đảo dòng dữ liệu A qua cổng NAND: b1 b 2 b 3 = 001 8
  9. 1.14 a- U2 U1 b1 b2 Q AND_2 A XOR b1 b 2 = 00 => Q = A b1 b 2 = 01 => Q = A b1 b 2 = 11 => Q = A b- U1 U2:A b1 1 3 b2 2 Q AND_2 A 4077 b1 b 2 = 00 => Q = A b1 b 2 = 01 => Q = A b1 b 2 = 11 => Q = A 1.15 a- 111010b = 58d 100101011101b = 2397d 46AEh = 18094d FA2Ch = 64044d b- 97.75d = 1100001.11b 625.7d = 1001110001.10(1100)b c- 921d = 399h 6120d = 17E8h d- 1001011b = 4Bh 1001010101111101b = 957Dh 2ACh = 1010101100b B34Dh = 1011001101001101b 27.45d = 100111.01000101BCD 11101000110.01BCD = 746.4d 10100111b = 11110100Gray 15d = 1111b = 1000Gray 10010110Gray = 11100100 9
  10. 1.16 100101b + 10111b = 111100b 10011111001b + 100001111101b = 110101110110b B23CDh + 17912h = C9CDFh AFEFFEh + 2FBCADh = DFACABh 1.17 Dạng 8 bit có dấu: -120 = 1,1111000 Dạng 16 bit có dấu: -120 = 1,000000001111000 Dạng mã bù 2: 120 = 0,0001000 120 = 0,111111110001000 1.18 Chuyển dạng sang số thập phân có dấu: 1010010010001010 = -9354 78E3h = 30947 CB33h = 52019 807Fh = 32895 9AC4h = 39620 1.19 A B A+B A+B (Nhị phân có dấu) (Nhị phân có dấu) (Mã bù 2) (Nhị phân có dấu) 0.0101011 1.1010101 1.1010110 1.0101010 1.0111110 0.0011001 1.1011011 1.0100101 0.1110001 0.0010111 0.1111000 ( Tràn bit ) 1.20 A B A-B A-B (Mã bù 2) (Mã bù 2) (Mã bù 2) (Nhị phân có dấu) 0.0111001 0.1011101 0.1011100 0.0100100 0.1000111 1.1100011 1.1100100 1.0011100 1.1000110 0.0011010 100101100 Tràn bit ( Tràn bit ) 1.0001110 1.1100010 100101100 Tràn bit ( Tràn bit ) 10
  11. Chương 2 – CÁC HỌ MẠCH LOGIC TTL VÀ CMOS 2.1 Mạch họ TTL là các mạch logic dùng tranzito BJT làm khóa đóng/ngắt ở cửa vào và cửa ra. Tùy theo cấu trúc ở cửa ra, các mạch họ TTL chia thành 3 loại: Mạch TTL cửa ra totempole, mạch TTL cửa ra cực góp hở, và mạch TTL cửa ra ba trạng thái. a- Mạch TTL cửa ra totempole: Vcc A Q B Khi ít nhất một trong hai đầu vào A, B ở mức thấp, thì đầu ra Q được kéo lên mức cao H. Chỉ khi cả hai đầu vào đều ở mức cao H thì Q mới tụt xuống mức thấp L. b-Mạch TTL, cửa ra cực góp hở: +U A R Q T B Cách hoạt động của mạch logic TTL cửa ra cực góp hở giống như mạch TTL cửa ra totempole, chỉ khác: Điện trở R trong mạch TTL cực góp hở nằm ở ngoài, có thể thay đổi, còn trong TTL cửa ra totempole thì nằm ở trong, không thay đổi được. Ưu điểm của mạch cực góp hở: -Mạch logic hở cho phép ta thay đổi mức logic cao ở đầu ra, bằng cách thay đổi điện trở R, treo lên điện áp +U thích hợp. -Đầu ra các mạch cực góp hở có thể nối trực tiếp với nhau mà không làm ngắn mạch nguồn cung cấp. 11
  12. Nhược điểm của mạch cực góp hở: Khi tranzito cửa ra từ dẫn bão hòa chuyển sang khóa, đầu ra Q chậm treo lên mức cao => tốc độ thay đổi trạng thái chậm hơn cửa ra totempole. 2.2 A – NAND cửa ra totempole trong các IC 7400: Q= ABCDEF U1:A 1 A 3 B 2 7400 U1:B U2 C 4 6 Q D 5 7400 AND_3 U1:C 10 E 8 F 9 7400 B – NAND cực góp hở trong IC 7401: Q= ABCDEF = ABCDEF = AB + CD + EF U1 A B AND_2 U2 U4 C Q D NOT AND_2 U3 E F AND_2 12
  13. Chương 3: TỔNG HỢP MẠCH LOGIC TỔ HỢP 3.1 a) Theo tuyển chuẩn: BA 00 01 11 10 DC 00 1 1 0 1 01 1 1 X 0 11 0 0 0 0 10 1 0 0 X Q= BD + CBA + DCA b) Theo hội chuẩn: BA 00 01 11 10 DC 00 1 1 0 1 01 1 1 X 0 11 0 0 0 0 10 1 0 0 X Q= (B + A)(D + C)(A + D)(C + B + A) Có mạch: Q= (B + A)(D + C)(A + D)(C + B + A) = BADCADCBA 13
  14. U5 NAND U4 U1 NAND_3 A U6 B NAND Q U2 C NAND_4 D NAND U3 NAND 3.2 E D P C 0 0 1 1 0 1 1 1 1 0 1 0 1 1 0 1 PED= + CED= + U1 U3 E C NOT OR_2 D U4 U2 P OR_2 NOT 3.3 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 Ta xét mạch với 2 đầu vào A,B và 15 đầu ra tương ứng đèn 1 -> 15 Có bảng trạng thái: của các đèn ứng với các kí tự E,F,P,T 14
  15. Kí tự B A 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 E 0 0 1 1 1 1 0 0 1 1 1 1 0 0 1 1 1 F 0 1 1 1 1 1 0 0 1 1 0 1 0 0 1 1 1 P 1 0 1 1 1 1 0 1 1 1 1 1 0 0 1 0 0 T 1 1 1 1 1 0 1 0 0 1 0 0 1 0 0 1 0 Có mạch điều khiển như sau: A B 1 2 U1 U2 13 NOT NOT U3 3 10 8 U4 4 OR_2 7 U5 11 OR_2 5 U6 AND_2 6 U7 AND_2 12 AND_2 U8 9 14 OR_2 15 3.4 F M Q 0 0 1 0 1 1 1 0 0 1 1 1 QFM= + U1 U2 F Q NOT M OR_2 15
  16. 3.5 Q(A,B,C)= AB + BC = AB + BC = ABBC U1 A Q1 B U4 U2 C NAND_2 Q U3 NAND_2 NAND_2 Q2 NAND_2 Xét ABC : 111-> 101 B B Q1 Q2 Q ð Rủi ro loại 1 Để tránh rủi ro: C BA 00 01 11 10 0 0 0 0 1 1 0 1 1 1 Q= BA + AC + CB 3.6 U1 A U2 NOT Q B XOR_2 1) Phần ĐẢO không có trễ truyền đạt. 2) Phần ĐẢO có trễ truyền đạt. 16
  17. A B 1) A Q 2) A Q 3.7 U1 A U2 NOT Q B XOR_2 AÅ A = Q = 0 (lí thuyết) A A A Q ð Mỗi khi A chuyển mức logic thì Q lại phát 1 xung dương 3.8 Chuyển đổi mạch phù hợp với tích cực âm U1 U1 A A B B OR_2 OR_2 U2 U4 U2 U4 C C Q => Q D D U3AND_2 OR_3 U3AND_2 OR_3 E E NOT NOT 17
  18. Chương 4: THIẾT BỊ LOGIC KHẢ TRÌNH 4.1 Một vi mạch PAL bao gồm: Một mảng AND lập trình được và một mảng NOR cố định. 4.2 A C B 2 5 9 1 U1:A 4 U1:B 10 U1:C 74125 74125 74125 3 6 8 U2 Q OR_3 Mạch: Q= ABC + ABC + ABC 4.3 Q= ABC + ABC + ABC A C B 2 5 9 1 U1:A 4 U1:B 10 U1:C 74125 74125 74125 3 6 8 U2 Q OR_3 18
  19. Chương 5: MẠCH LOGIC TỔ HỢP 5.1 Mạch cộng 2 số nhị phân 5 bit: A= 00111 và B= 10101 thực hiện như sau: B4 A4 B3 A3 B2 A2 B1 A1 B0 A0 1 2 3 1 2 3 4 3 1 1 1 1 4 3 1 1 1 1 4 3 1 I I I I I B A U3:A B A U2:B B A U2:A B A U1:B B A U1:A C C C C C 74LS183 74LS183 74LS183 74LS183 74LS183 O O O O O C S C S C S C S C S 5 6 0 8 5 6 0 8 5 6 1 1 S4 S3 S2 S1 S0 5.2 Mạch cộng với 74LS83A Dãy bit ra thể hiện trong bảng: A1 1 0 0 1 0 1 1 0 A2 1 1 1 0 1 0 0 0 A3 0 0 0 0 1 0 1 0 A4 1 0 1 1 1 0 1 0 B1 1 1 1 1 1 0 0 0 B2 1 1 0 0 1 1 0 0 B3 1 0 1 0 1 0 1 0 B4 0 0 1 0 0 1 0 0 ∑1 1 0 0 1 1 1 1 0 ∑2 1 0 0 0 0 0 0 0 ∑3 0 0 0 0 1 1 1 0 ∑4 1 0 0 0 0 1 1 0 C4 1 1 1 1 1 0 0 0 5.3 Mạch so sánh với vi mạch 7485: U1 10 Dạng xung thể hiện như hình vẽ: A0 12 A1 13 A2 15 A3 9 B0 11 B1 14 B2 1 B3 2 7 A B QA>B 7485
  20. A0 A1 A2 A3 B0 B1 B2 B3 A>B A=B A<B 5.4 Bộ giải mã BCD/DEC 7442A Xung trên các lối ra thể hiện trên hình vẽ U1 1 0 2 1 3 2 15 4 A 3 14 5 B 4 13 6 C 5 12 7 D 6 9 7 10 8 11 9 7442 20
  21. A0 A1 A2 A3 0 1 2 3 4 5 6 7 8 9 5.5 U1 Vi mạch Encoder 7417 : 11 1 Có mức L ở chân 2, 5, 12 12 2 và H ở các mức còn lại. 13 3 1 9 ð mã BCD tương ứng (Q0Q1Q2Q3) 4 Q0 2 7 2 (giá trị 5) -> 0101 5 Q1 3 6 5 (giá trị 8) ->1110 6 Q2 4 14 7 Q3 12 (giá trị 2) ->1011 5 8 10 9 74147 21
  22. 5.6 G0 G1 G2 G3 G4 G5 G6 G7 G8 G9 Gray U1 U2 U3 U4 U5 U6 U7 U8 U9 XOR XOR XOR XOR XOR XOR XOR XOR XOR Binary B0 B1 B2 B4 B6 B8 B9 B3 B5 B7 Gray -> Binary 1010000000 -> 0110000000 0011001100 -> 0001000100 1111000111 -> 1010111101 0000000001 -> 1111111111 5.7 a) b) F= (2,3, 4,5,8,9,14,15) F= (0, 4,5,7,10,13,14,15) 1 å XYZT 2 å XYZT Q U1 8 10 Q U1 X0 Y 7 8 10 X1 X0 Y 6 7 X2 X1 6 5 X2 X3 5 4 X3 X4 4 3 X4 X5 3 2 X5 X6 2 1 X6 X7 1 X7 23 23 X8 X8 22 22 X9 X9 21 21 X10 X10 20 20 X11 X11 19 19 X12 X12 18 18 X13 X13 17 17 X14 X14 16 16 X15 X15 15 15 A A X 14 X 14 B B Y 13 Y 13 C C Z 11 Z 11 T D T D 9 9 E E 74150 74150 22
  23. 5.8 Dùng 2 vi mạch MUX 4 và 1 vi mạch MUX 2 để thành lập mạch MAX 8 5.9 U1 23 1 A 0 22 2 B 1 21 3 C 2 20 4 D 3 5 4 18 6 E1 5 19 7 E2 6 8 7 Tín hiêu vào 9 8 10 9 11 10 13 11 14 12 15 13 16 14 17 15 74154 Tín hiệu vào: 2468 H = 0010 0100 0110 1000 BCD 23
  24. 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 TH.vao 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 24
  25. 5.10 GND VCC U44 U43 D1 15 3 23 1 D2 D0 Q0 A 0 1 2 22 2 D3 D1 Q1 B 1 10 6 21 3 D4 D2 Q2 C 2 LED-BLUE 9 7 20 4 D5 D3 Q3 D 3 LED-BLUE 13 5 D6 RCO 4 LED-BLUE 14 18 6 D7 CLK E1 5 LED-BLUE 4 19 7 D8 E E2 6 LED-BLUE 5 8 D9 D/U 7 LED-BLUE 11 9 D10 PL 8 LED-BLUE 12 10 D11 TC 9 LED-BLUE 11 D12 10 LED-BLUE 74190 13 D13 11 LED-BLUE 14 D14 12 LED-BLUE 15 D15 13 LED-BLUE 16 D16 14 LED-BLUE 17 U1 15 LED-BLUE U2 NOT LED-BLUE NOT 74154 LED-BLUE U45 U46 D17 15 3 23 1 D18 D0 Q0 A 0 1 2 22 2 D19 D1 Q1 B 1 10 6 21 3 D20 D2 Q2 C 2 LED-BLUE 9 7 20 4 D21 D3 Q3 D 3 LED-BLUE 13 5 D22 GND RCO 4 LED-BLUE 14 18 6 D23 CLK E1 5 LED-BLUE 4 19 7 D24 E E2 6 LED-BLUE 5 8 D25 D/U 7 LED-BLUE 11 9 D26 PL 8 LED-BLUE 12 10 D27 TC 9 LED-BLUE 11 D28 10 LED-BLUE 74190 13 D29 11 LED-BLUE 14 D30 12 LED-BLUE 15 D31 13 LED-BLUE 16 D32 14 LED-BLUE 17 15 LED-BLUE LED-BLUE 74154 LED-BLUE 25
  26. Chương 6: MẠCH LOGIC DÃY 6.1 Từ yêu cầu của đề bài, thu được: NH Î [0;4] ® S=0; R=0; NH Î [5;B] ® S=1; R=0; NH Î [B;15] ® S=0; R=1; Ví dụ: Chọn B=10 S= NKP + MKP + NKP + NMKP R= KP + NMK U12 OR_2 U10 U11 AND_2 AND_3 U1 M R NOT U2 N S NOT U3 P NOT U4 K NOT U5 U6 U7 U8 AND_3 AND_3 AND_3 AND_4 U9 OR_4 6.2 B U1 U2 Q1 Q2 5V J Q D Q A CLK CLK K Q Q JKFF DTFF 26
  27. A B J K Q1 Q2 6.3 U3 U1 U2 S Q0 E D Q D Q Q1 XOR CLK CLK Q Q DTFF U4 DTFF C NOT E C Q0 C Q1 S 27
  28. 6.5 U1 15 3 D0 Q0 1 2 D1 Q1 10 6 D2 Q2 9 7 D3 Q3 U1(CLK) 13 RCO 14 CLK 4 E 5 D/U 11 PL U5 12 TC U4 NOT NOT 74190 U2 15 3 D0 Q0 1 2 D1 Q1 10 6 D2 Q2 9 7 D3 Q3 13 RCO 14 CLK 4 E 5 D/U 11 PL U8 U7 U6 12 TC NOT NOT NOT 74190 U3 NAND_8 Nguyên lí hoạt động: - đặt giá trị ban đầu Di của các bộ 74190 là 0. - Tín hiệu vào CLK được đưa vào 2 bộ đếm 74190. Để 2 bộ đếm này liên hệ với nhau sao cho đếm được 2 chữ số ta nối RCO của 741901 với E của 741902. 28
  29. - Để đếm bộ đếm đếm theo chiều tăng, ta tích cực âm cho D/U của cả 2 74190. - Mỗi tín hiệu ra của 74190 được nối với 1 led 7 thanh để hiển thị xung đếm. - Để giới hạn đếm từ 0 đến 84, dùng một NAND nhận các tín hiệu ra khi giá trị ra là 85 làm đầu vào. Khi được tích cực đúng giá trị 85, tín hiệu lra của NAND sẽ nạp lại giá trị 0 của hai IC 74190. - Quá trình cứ thế tiếp tục. 6.6 U2 15 3 D0 Q0 1 2 D1 Q1 10 6 D2 Q2 9 7 D3 Q3 U2(CLK) 13 RCO 4 1 5 3 2 0 14 4 3 2 1 1 1 9 1 1 1 1 CLK 4 E 5 3 2 1 0 3 2 1 0 D/U B B B U1 11 > = = = = < A A A Q Q Q NOT 5 6 7 AND_2 Trên hình bên đặt giá trị N = 33 29
  30. 6.7 GND U1 U3 15 3 15 3 D0 Q0 D0 Q0 1 2 1 2 D1 Q1 D1 Q1 10 6 10 6 D2 Q2 D2 Q2 U2 9 7 9 7 D3 Q3 D3 Q3 U2(D1) 5 12 5 12 UP TCU UP TCU 4 13 4 13 DN TCD DN TCD U10 11 11 PL U9 PL NOT AND 14 NOT 14 MR U5 MR U4 74192 NOT 74192 NOT U8 U6 NOT U11 NOT U7 NOT AND_8 6.8 VCC U1 15 3 D0 Q0 1 2 D1 Q1 10 6 D2 Q2 9 7 D3 Q3 U1(CLK) 13 RCO 14 CLK 4 E 5 D/U 11 PL 12 TC 74LS191 SW1 GND SW-SPDT GND Nguyên lí hoạt động: Với mạch trên, N chọn bằng 10. - 74191 là mạch đếm hexa. Giá trị đếm từ 0-16 - Ban đầu ta load giá trị đầu vaò là giá trị N đặt trước. Trong mỗi chu kì đếm, 74191 sẽ đếm từ N đến 15 (hiển thị chữ F). Khi tới xung 15, có 1 xung phát ra ở TC. Tín hiệu này đưa đến PL để load lại giá trị N 30
  31. - Quá trình cứ như vậy tiếp tục xảy ra. Đầu ra TC sẽ cho một xung có f = f / (16-N) Cụ thể xung: CLK RCO PL TC 6.9 U7 D OR_2 U6 C OR_3 U5 B OR_5 U3 A OR_3 U1(CLK) U1 14 3 U2 GND CLK Q0 13 2 E Q1 4 Q2 7 Q3 10 Q4 1 Q5 5 Q6 6 OR_7 Q7 9 Q8 11 Q9 15 12 MR CO U4 4017 NOT 31
  32. PHẦN II: BÀI TẬP THÊM #Các phép toán và định lí 1- Cho hàm: Q(A,B,C)0 = (A + B + C)(A + B + C)(A + B + C) Q1 (A,B,C,D)= (AB + CD)(A + BCD) Q2 (A,B,C,D)= [ABC+(D+CB)]BC a. Vẽ mạch logic chỉ sử dụng phần tử logic cỏ bản cho Q0, Q1, Q2. b. Vẽ mạch logic cho Q0, Q2. c. Vẽ mạch logic chỉ dùng phần tử NOR cho Q0. Bài làm a. A B C U1 U6 OR_3 U2 U4 NOT Q0 OR_3 AND_3 U3 U5 OR_3 NOT A B C D U1 U4 AND_2 U2 OR_2 U3 U5 AND_2 NOT Q1 AND_2 U6 U8 U7 OR_2 NOT AND_3 32
  33. A B C D U1 U8 AND_3 U9 U3 OR_2 U2 AND_2 Q2 NOT U5 U4 OR_2 NOT AND_2 U6 U7 NOT AND_2 b. Q= (A + B + C)(A + B + C)(A + B + C) 0 =ABCABCABC = ABC + ABC + ABC = AC + AB A B C U1 U5 NOT U2 AND_2 U7 U8 NOT U3 Q0 NOT OR_2 U6 NOT U4 AND_2 NOT Q2 = [ABC+(D+CB)]BC = (ABC + DBC)BC = DBC B C D U1 U2 Q2 NOT AND_3 33
  34. c. Q(A,B,C)0 =++ (A B C)(A ++ B C)(A ++=+ B C) AC AB =+++ A C A B A B C U1 U3 NOR_2 Q0 U2 NOR_2 NOR_2 #Biểu diễn số 1. Cho N10 = 12768*2 ; N’10 = 32D N , N’ , N , N’ , N , N’ , (N – N’ ) theo N è 2 2 16 16 BCDn BCDn 16 16 ASCII Bài làm: N10 = 25536 D N’10 = 32 D N2 = 110 0011 1100 0000 N’2 = 10 0000 N16 = 63C0 H N’16 = 20 H NBCDn = 0110 0011 1100 0000 B N’BCDn = 0010 0000 B P16 = N16 – N’16 = 63A0 H PASCII = 00110110 00110011 00111010 00110000 34
  35. #Các phương pháp tổng hợp hàm từ bảng trạng thái 1. Cho các hàm Q sau: Q0 (A,B,C,D)= å (0,1,4,9,10,14) Q (A,B,C,D)= (1,2,5,8,12,13) 1 Õ Q2 (A,B,C,D,E)= å (0,2,7,12,13,18,21,26,29,30,31) F(3,19,28) = x(Cho _ Q2 ) a. Vẽ mạch logic cho hàm Q1, Q2, Q0. b. Chỉ dùng phần tử NOR, vẽ mạch cho Q0. c. Chỉ dùng phần tử NAND, vẽ mạch cho Q1. Bài làm: a. Hàm Q0: BA 00 01 11 10 DC 00 1 1 0 0 01 1 0 0 0 11 0 0 0 1 10 0 1 0 1 Q0 = BAD + BAC + BAD D C B A U5 U8 U6 U1 NOT NOT U7 NOT AND_3 U2 U4 NOT Q0 AND_3 OR_3 U3 AND_3 35
  36. Hàm Q1: BA 00 01 11 10 DC 00 1 0 1 0 01 1 0 1 1 11 0 0 1 1 10 0 1 1 1 Q1 = (B + A + D)(B + A + D)(B + A + C)(B + A + D + C) D C B A U1 U6 OR_3 NOT U7 U2 NOT OR_3 U5 Q1 AND_4 U3 U8 OR_3 U9NOT U4 NOT OR_4 Hàm Q3: CBA 000 001 011 010 110 111 101 100 DE 00 1 0 x 1 0 1 0 0 01 0 0 0 0 0 0 1 1 11 0 0 0 1 1 1 1 x 10 0 0 x 1 0 0 1 0 Q2 = ABCDE + CBD + ED + ABCDE + CBAE + CBD 36
  37. b. Q= BAD + BAC + BAD =++++++++= B A D B A C B A D 0 =BADBACBAD + + + + + + + + D C B A U1 NOR_3 U2 U3 U7 U8 NOR_2 Q0 NOR_3 NOR_3 NOR_2 U4 U6 NOR_2 U5 NOR_3 NOR_2 c. Q1 =++ (B A D)(B ++ A D)(B ++ A C)(B +++= A D C) BADBADBACABCD =BADBADBACABCD 37
  38. D C B A U4 NOR_2 U5 U1 NOR_2 NOR_3 U2 NOR_3 U9 U3 Q1 NOR_4 NOR_3 U6 U7 NOR_4 NOR_2 U8 NOR_2 #Mạch giải mã địa chỉ 1. Cho hàm Q: Q (A, B,C, D, E)= (0,1,8,9,15,19, 26,30) 0 å Q0 (A, B,C, D)= Õ (1,2,5,6,12,14,15) a. Xây dựng mạch logic thực hiện Q0 dùng IC 74138 b. Xây dựng mạch logic thực hiện Q1 dùng IC 74139 Bài làm: 38
  39. a. U1 1 15 A Y0 A 2 14 B Y1 B 3 13 C Y2 C 12 Y3 11 Y4 6 10 E1 Y5 D 4 9 E2 Y6 5 7 E E3 Y7 74ALS138U2 1 15 A Y0 2 14 U5 B Y1 3 13 NOT C Y2 12 Y3 11 Y4 6 10 U7 E1 Y5 4 9 E2 Y6 5 7 E3 Y7 74ALS138U3 1 15 Q A Y0 2 14 B Y1 3 13 C Y2 12 Y3 11 NAND_8 Y4 6 10 E1 Y5 4 9 E2 Y6 5 7 E3 Y7 U6 74ALS138U4 1 15 NOT A Y0 2 14 B Y1 3 13 C Y2 12 Y3 11 Y4 6 10 E1 Y5 4 9 E2 Y6 5 7 E3 Y7 74ALS138 b. U1:A 2 4 A Y0 A 3 5 B Y1 B 6 Y2 1 7 C E Y3 74ALS139 D U1:B 14 12 U3 A Y0 13 11 NOT B Y1 10 Y2 15 9 U5 E Y3 74ALS139 U2:A 2 4 Q A Y0 3 5 B Y1 6 Y2 1 7 AND_7 E Y3 74ALS139 U2:B 14 12 U4 A Y0 13 11 NOT B Y1 10 Y2 15 9 E Y3 74ALS139 39
  40. làm:Bài 7447 3. Xâ làm:Bài 2. Thành l U1 1 15 y d A Y0 A 2 14 B Y1 B 3 13 C Y2 mạchựng mãgiải Anot LED thanh 7 chung, chữ2 số, dùng C 12 Y3 D 11 Y4 ập mạch mãgiải một tử 32 phần dùng bit IC 74138 E 6 10 E1 Y5 4 9 E2 Y6 5 7 E3 Y7 74ALS138 U2 1 15 A Y0 2 14 U5 B Y1 3 13 NOT C Y2 12 Y3 11 Y4 6 10 E1 Y5 4 9 E2 Y6 5 7 E3 Y7 U374ALS138 40 1 15 A Y0 2 14 B Y1 3 13 C Y2 12 Y3 11 Y4 6 10 E1 Y5 4 9 E2 Y6 5 7 E3 Y7 74ALS138 U4 1 15 A Y0 2 14 U6 B Y1 3 13 NOT C Y2 12 Y3 11 Y4 6 10 E1 Y5 4 9 E2 Y6 5 7 E3 Y7 74ALS138
  41. M # làmBài 1. ạchcộng 9 10 Thi S1 A1 6 8 S2 A2 2 3 S3 A3 ết mạch kế cộng 8 dùng bit 7483 15 1 S4 A4 11 B1 7 B2 4 B3 16 B4 14 13 C4 C0 7483 U1 9 10 S1 A1 6 8 S2 A2 2 3 S3 A3 15 1 S4 A4 41 11 B1 7 B2 4 B3 16 B4 14 13 C4 C0 7483 U2
  42. 1 1 1 1 0 0 0 0 K= B0 B1 B2 B4 B5 B6 B7 B3 A A làmBài 3. Đánh giá: làmBài 2. B B N \ \ S S: c 1: U17 NOT U18 NOT NOT U19 NOT U20 U21 NOT NOT U23 NOT U22 U14 NOT Thi Thi ¾®¾¾¾® ¾¾¾® ết mạch kế trừ 8 bit dùng 7483 ết mạch kế cộng trừ a i cong1 bit dao ộng ộng trừ 0: 0 A 1 1 0 0 1 1 0 14 13 C4 C0 16 B4 4 B3 7 B2 14 13 11 C4 C0 B1 16 15 1 0 B4 S4 A4 4 2 3 B3 S3 A3 7 6 8 B2 S2 A2 11 9 10 1 B1 S1 A1 1 (2) (1) N N 15 1 7483 U12 S4 A4 2 3 S3 A3 6 8 S2 A2 9 10 14 13 S1 A1 C4 C0 7483 U24 đa năngđa 1 0 1 0 1 0 1 0 B 16 B4 4 B3 7 B2 14 13 11 C4 C0 B1 16 15 1 B4 S4 A4 4 2 3 42 B3 S3 A3 7 6 8 B2 S2 A2 11 9 10 B1 S1 A1 15 1 7483 U13 S4 A4 2 3 S3 A3 6 8 S2 A2 Ra 9 10 S1 A1 7483 U25 0 1 1 0 0 1 1 0 S A0 A1 1 0 0 0 0 0 1 0 C A2 A3 A4 A5 A6 A7
  43. S= KAB + KAB + KAB + KAB C= KAB + KAB U1 A\S NOT U2 A NOT U3 B NOT U4 U5 U6 U7 U9 U10 AND_3 AND_3 AND_3 AND_3 AND_3 AND_3 U8 U11 NOR_4 OR_2 S C 4. Thiết kế mạch cộng 2 số BCD 4bit Bài làm U1:A 1 6 A S 3 B 4 5 CI CO 74LS183 U1:B 13 8 A S 12 B 11 10 U3 CI CO 10 1 A Y1 74LS183 11 2 B Y2 12 3 C Y3 13 4 D Y4 U2:A 14 5 E Y5 1 6 6 A S Y6 3 15 7 B G Y7 4 5 9 CI CO Y8 74LS183 74185 Binary to BCD U2:B 13 8 A S 12 B 11 10 A B CI CO 74LS183 43
  44. #Mạch FLIP-FLOP 1. Thiết kế mạch TFF đếm từ 0 => 5 Bài làm U5 AND_3 U4 U1 U2 NOTU3 CLK CLK Q CLK Q CLK Q SET SET SET RESET RESET RESET D !Q D !Q D !Q DTFF DTFF DTFF Q2 Q3 Q1 2. Thiết kế mạch TFF chia tần f/13 Bài làm Chia tần f\13 => Mạch đếm từ 0 đến 12. Q (f\13) U7 AND_4 U5 U6 U1 NOTU2 NOTU3 U4 CLK (f) CLK Q CLK Q CLK Q CLK Q SET SET SET SET RESET RESET RESET RESET D !Q D !Q D !Q D !Q DTFF DTFF DTFF DTFF #Thanh ghi dịch 1. Thiết kế thanh ghi dịch đa năng 4 bit với yêu cầu : - Có tín hiệu lựa chọn vào song song (1) hoặc vào nối tiếp (0) - Có tín hiệu chọn dịch trái (1) hay dịch phải (0) Bài làm 44
  45. Ra// Ra nt U10 U10 U10 U10 U10 OR_2 OR_2 OR_2 OR_2 OR_2 U15 U16 U15 U16 U15 U16 U15 U16 U15 U16 AND_2 AND_2 AND_2 AND_2 AND_2 AND_2 AND_2 AND_2 AND_2 AND_2 U11 NOT DK R-L 45 U6 U8 U6 U8 U6 U8 U6 U8 Q Q Q Q U7 U7 U7 U7 CLK CLK CLK CLK AND_2 AND_2 AND_2 AND_2 Q D U9 Q D U9 Q D U9 Q D U9 DTFF DTFF DTFF DTFF OR_2 OR_2 OR_2 OR_2 AND_2 AND_2 AND_2 AND_2 CLK Vao nt U5 DK //-nt NOT U3 U3 U3 U3 U2 U2 U2 U2 U1 U1 U1 U1 AND_2 AND_2 AND_2 AND_2 D Q D Q D Q D Q CLK CLK CLK CLK OR_2 OR_2 OR_2 OR_2 U4 Q U4 Q U4 Q U4 Q DTFF DTFF DTFF DTFF AND_2 AND_2 AND_2 AND_2 Vao //
  46. 2. Trên cơ sở 7492, thành lập bộ đếm từ 0 đến NMAX. Với NMAX = 13 Bài làm 13 = 1101 Q3Q2Q1Q0 U26 CLK 14 12 CKA QA U27 1 11 CKB QB 9 QC 8 QD 6 R0(1) NOT 7 R0(2) 7492 U28 AND_4 46
  47. 3. Thiết kế mạch đếm từ 37 – 82 dùng 74190 Bài làm Các đầu vào: 37 = 0011 0111 83 = 1000 0011 K D U101 U5 L C DTFF AND_8 Q Q U15 K D U7 NOT U6 L C DTFF NOT U10 Q Q NOT U9 NOT U12 NOT VCC U14 GND VCC U11 AND_2 NOT U13(D1) U8 NOT U1 U2 15 3 7 13 D0 Q0 A QA 1 2 1 12 D1 Q1 B QB 10 6 2 11 GND D2 Q2 C QC 9 7 6 10 D3 Q3 D QD 13 4 9 GND RCO BI/RBO QE 14 5 15 U16 CLK RBI QF 4 3 14 NOT E LT QG 5 D/U 11 74247 PL 12 TC 74190 U3 U4 15 3 7 13 U13 D0 Q0 A QA 1 2 1 12 AND_2 D1 Q1 B QB 10 6 2 11 D2 Q2 C QC 9 7 6 10 D3 Q3 D QD 13 4 9 RCO BI/RBO QE 14 5 15 CLK RBI QF 4 3 14 E LT QG 5 D/U 11 74247 PL 12 TC 74190 47
  48. MỤC LỤC Điện tử số PHẦN I: BÀI TẬP TRONG SÁCH 1 Chương 1- KIẾN THỨC CỞ CỦA KĨ THUẬT SỐ 1 Chương 2 – CÁC HỌ MẠCH LOGIC TTL VÀ CMOS 11 Chương 3: TỔNG HỢP MẠCH LOGIC TỔ HỢP 13 Chương 4: THIẾT BỊ LOGIC KHẢ TRÌNH 18 Chương 5: MẠCH LOGIC TỔ HỢP 19 Chương 6: MẠCH LOGIC DÃY 26 PHẦN II: BÀI TẬP THÊM 32 #Các phép toán và định lí 32 #Biểu diễn số 34 #Các phương pháp tổng hợp hàm từ bảng trạng thái 35 #Mạch giải mã địa chỉ 38 # Mạch cộng 41 #Mạch FLIP-FLOP 44 #Thanh ghi dịch 44 48