Tài liệu tóm tắt bài giảng Hệ thống điều khiển nhúng - Lưu Hồng Việt

pdf 54 trang Gia Huy 20/05/2022 1430
Bạn đang xem 20 trang mẫu của tài liệu "Tài liệu tóm tắt bài giảng Hệ thống điều khiển nhúng - Lưu Hồng Việt", để tải tài liệu gốc về máy bạn click vào nút DOWNLOAD ở trên

Tài liệu đính kèm:

  • pdftai_lieu_tom_tat_bai_giang_he_thong_dieu_khien_nhung_luu_hon.pdf

Nội dung text: Tài liệu tóm tắt bài giảng Hệ thống điều khiển nhúng - Lưu Hồng Việt

  1. Trường Đại học Bách khoa Hà Nội Bộ môn Điều khiển tự động Nội dung Tài liệu tóm tắt bài giảng 1 MỞ ĐẦU 5 HỆ THỐNG ĐIỀU KHIỂN NHÚNG 1.1 Các khái niệm về hệ nhúng 5 1.2 Lĩnh vực ứng dụng của hệ nhúng 7 1.3 Đặc điểm công nghệ và xu thế phát triển của hệ nhúng 8 (Embedded Control Systems) 1.3.1 Đặc điểm công nghệ 8 1.3.2 Xu thế phát triển và sự tăng trưởng của hệ nhúng 9 TS. Lưu Hồng Việt 1.4 Mục đích và nội dung môn học 10 2 CẤU TRÚC PHẦN CỨNG HỆ NHÚNG 11 2.1 Các thành phần kiến trúc cơ bản 11 2.1.1 Đơn vị xử lý trung tâm CPU 11 2.1.2 Xung nhịp và trạng thái tín hiệu 13 2.1.3 Bus địa chỉ, dữ liệu và điều khiển 16 2.1.4 Bộ nhớ 17 2.1.5 Không gian và phân vùng địa chỉ 21 2.1.6 Ngoại vi 21 2.1.7 Giao diện 33 2.2 Một số nền phần cứng nhúng thông dụng (µP/DSP/PLA) 37 2.2.1 Chip Vi xử lý / Vi điều khiển nhúng 37 2.2.2 Chip DSP 39 2.2.3 PAL 41 3 CƠ SỞ KỸ THUẬT PHẦN MỀM NHÚNG 48 3.1 Đặc điểm phần mềm nhúng 48 3.2 Biểu diễn số và dữ liệu 48 3.2.1 Các hệ thống cơ số 48 3.2.2 Số nguyên 48 3.2.3 Số dấu phảy tĩnh 50 3.2.4 Số dấu phảy động 51 3.2.5 Một số phép tính cơ bản 52 3.3 Tập lệnh 55 3.3.1 Cấu trúc tập lệnh CISC và RISC 55 3.3.2 Định dạng lệnh 57 3.3.3 Các kiểu truyền địa chỉ toán tử lệnh 57 3.3.4 Nguyên lý thực hiện pipeline 60 3.3.5 Harzard 61 1 2
  2. 3.4 Ngôn ngữ và môi trường phát triển 63 3.4.1 Ngôn ngữ 63 3.4.2 Biên dịch 65 3.4.3 Simulator 70 3.4.4 Emulator 71 3.4.5 Thiết kế hệ thống bằng máy tính 71 4 HỆ ĐIỀU HÀNH NHÚNG 73 4.1 Hệ điều hành 73 4.2 Bộ nạp khởi tạo (Boot‐loader) 74 4.3 Các yêu cầu chung 76 4.4 Hệ điều hành thời gian thực 77 5 KỸ THẬT LẬP TRÌNH NHÚNG 81 5.1 Tác vụ và quá trình (process) 81 5.2 Lập lịch (Scheduling) 81 5.2.1 Các khái niệm 81 5.2.2 Các phương pháp lập lịch phổ biến 82 5.2.3 Kỹ thuật lập lịch 85 5.3 Truyền thông và đồng bộ 87 5.3.1 Semaphore 87 5.3.2 Monitor 89 5.4 Xử lý ngắt 90 6 THIẾT KẾ HỆ NHÚNG: TỔ HỢP PHẦN CỨNG VÀ MỀM 93 6.1 Qui trình phát triển 93 6.2 Phân tích yêu cầu 93 6.3 Mô hình hoá sự kiện và tác vụ 93 6.3.1 Phương pháp mô hình Petrinet 93 6.3.2 Qui ước biểu diễn mô hình Petrinet 94 6.3.3 Mô tả các tình huống hoạt động cơ bản với Petrinet 95 6.3.4 Ngôn ngữ mô tả phần cứng (VHDL) 103 6.4 Thiết kế phần mềm điều khiển 104 6.4.1 Mô hình thực thi bộ điều khiển nhúng 104 6.4.2 Ví dụ thực thi bộ điều khiển PID số 106 TÀI LIỆU THAM KHẢO 108 3 4
  3. 1 MỞ ĐẦU Kỷ nguyên công nghệ mới đã và đang tiếp tục phát triển không ngừng nhằm thông minh hoá hiện đại hoá thông suốt các hệ thống. Có thể nói đánh dấu sự ra đời và phát triển của hệ nhúng trước tiên phải kể đến sự ra đời của các bộ vi xử lý, vi điều khiển. Nó được đánh dấu bởi sự ra đời của Chip vi xử lý đầu tiên 4004 vào năm 1971 cho mục đích tính toán thương mại bởi một công ty Nhật bản Busicom và sau đó đã được chắp cánh và phát triển vượt bậc bởi Intel để trở thành các bộ siêu xử lý như các Chip được ứng dụng cho PC như ngày nay. Thập kỷ 80 có thể được coi là khởi điểm bắt đầu kỷ nguyên của sự bùng nổ về phát triển các hệ nhúng. Từ đó khởi nguồn cho làn sóng ra đời của hàng loạt các chủng loại vi xử lý và gắn liền là các hệ nhúng để thâm nhập rộng khắp trong các ứng dụng hàng ngày của cuộc sống chúng ta ví dụ như, các thiết bị điện tử sử dụng cho sinh hoạt hàng ngày (lò vi sóng, TV, tủ lạnh, máy giặt, điều hoà ) và văn phòng làm việc (máy fax, máy in, máy điện thoại ) Các bộ vi xử lý và phần mềm cũng ngày càng được sử dụng rộng rãi trong rất nhiều các hệ thống nhỏ. Các loại vi xử lý được sử dụng trong các hệ thống nhúng hiện nay đã vượt xa so với PC về số lượng chủng loại (chiếm đến 79% số các vi xử lý đang tồn tại [2] ) và vẫn còn tiếp tục phát Hình 1‐1: Một vài hình ảnh về hệ nhúng triển để nhằm đáp ứng và thoả mãn rất nhiều ứng dụng đa dạng. Trong số đó vẫn còn ƒ Hệ thời gian thực ? ứng dụng cả các Chip vi xử lý 8 bit, 16 bit và hiện nay chủ yếu vẫn là 32 bit (chiếm Trong các bài toán điều khiển và ứng dụng chúng ta rất hay gặp thuật ngữ “thời gian khoảng 75%). Gắn liền với sự phát triển phần cứng, phần mềm cũng đã phát triển với thực”. Thời gian thực có phải là thời gian phản ánh về độ trung thực của thời gian hay tốc độ nhanh không thua kém thậm chí sẽ tăng nhanh hơn rất nhiều theo sự phát triển không? Thời gian thực có phải là hiển thị chính xác và đồng bộ theo đúng như nhịp hệ nhúng. đồng hồ đếm thời gian hay không? Không phải hoàn toàn như vậy! Thực chất, theo 1.1 Các khái niệm về hệ nhúng cách hiểu nếu nói trong các hệ thống kỹ thuật đặc biệt các hệ thống yêu cầu khắt khe về sự ràng buộc thời gian, thời gian thực được hiểu là yêu cầu của hệ thống phải đảm bảo ƒ Hệ nhúng ? thoả mãn về tính tiền định trong hoạt động của hệ thống. Tính tiền định nói lên hành vi Trong thế giới thực của chúng ta bất kỳ một thiết bị hay hệ thống điện/điện tử có khả của hệ thống thực hiện đúng trong một khung thời gian cho trước hoàn toàn xác định. năng xử lý thông tin và điều khiển đều có thể tiềm ẩn trong đó một thiết bị hay hệ Khung thời gian này được quyết định bởi đặc điểm hoặc yêu cầu của hệ thống, có thể là nhúng, ví dụ như các thiết bị truyền thông, thiết bị đo lường điều khiển, các thiết bị vài giây và cũng có thể là vài nano giây hoặc nhỏ hơn nữa. Ở đây chúng ta phân biệt phục vụ sinh hoạt hàng ngày như lò vi sóng, máy giặt, camera Rất dễ dàng để có thể yếu tố thời gian gắn liền với khái niệm về thời gian thực. Không phải hệ thống thực kể ra hàng loạt các thiết bị hay hệ thống như vậy đang tồn tại quanh ta, chúng là hệ hiện rất nhanh là sẽ đảm bảo được tính thời gian thực vì nhanh hay chậm hoàn toàn là nhúng. Vậy hệ nhúng thực chất là gì và nên hiểu thế nào về hệ nhúng? Hiện nay cũng phép so sánh có tính tương đối vì mili giây có thể là nhanh với hệ thống điều khiển chưa có một định nghĩa nào thực sự thoả đáng để được chuẩn hoá và thừa nhận rộng nhiệt nhưng lại là chậm đối với các đối tượng điều khiển điện như dòng, áp . Hơn thế rãi cho hệ nhúng mà vẫn chỉ là những khái niệm diễn tả về chúng thông qua những đặc nữa nếu chỉ nhanh không thì chưa đủ mà phải đảm bảo duy trì ổn định bằng một cơ thù chung. Tuy nhiên ở đây chúng ta có thể hiểu hệ nhúng là một phần hệ thống xử lý chế hoạt động tin cậy. Chính vì vậy hệ thống không kiểm soát được hoạt động của nó thông tin nhúng trong các hệ thống lớn, phức hợp và độc lập ví dụ như trong ôtô, các (bất định) thì không thể là một hệ thống đảm bảo tính thời gian thực mặc dù hệ thống thiết bị đo lường, điều khiển, truyền thông và thiết bị thông minh nói chung. Chúng là đó có thể cho đáp ứng rất nhanh, thậm chí nhanh hơn rất nhiều so với yêu cầu đặt ra. những tổ hợp của phần cứng và phần mềm để thực hiện một hoặc một nhóm chức năng Một ví dụ minh hoạ tiêu biểu đó là cơ chế truyền thông dữ liệu qua đường truyền chuyên biệt, cụ thể (Trái ngược với máy tính PC mà chúng ta thường thấy được sử chuẩn Ethernet truyền thống, mặc dù ai cũng biết tốc độ truyền là rất nhanh nhưng vẫn dụng không phải cho một chức năng mà là rất nhiều chức năng hay phục vụ chung cho không phải hệ hoạt động thời gian thực vì không thoả mãn tính tiền định trong cơ chế nhiều mục đích). PC thực chất lại là một hệ thống lớn, tổ hợp của nhiều hệ thống nhúng truyền dữ liệu (có thể là rất nhanh và cũng có thể là rất chậm nếu có sự canh trạnh và ví dụ như card màn hình, âm thanh, modem, ổ cứng, bàn phím Chính điều này làm giao thông đường truyền bị nghẽn). chúng ta dễ lúng túng nếu được hỏi nên hiểu thế nào về PC, có phải là hệ nhúng hay không. 5 6
  4. Người ta phân ra làm hai loại đối với khái niệm thời gian thực là cứng (hard real‐time) 1.3 Đặc điểm công nghệ và xu thế phát triển của hệ nhúng và mềm (soft real‐time). Thời gian thực cứng là khi hệ thống hoạt động với yêu cầu thoả mãn sự ràng buộc trong khung thời gian cứng tức là nếu vi phạm thì sẽ dẫn đến hoạt 1.3.1 Đặc điểm công nghệ động của toàn hệ thống bị sai hoặc bị phá huỷ. Ví dụ về hoạt động điều khiển cho một Các hệ thống như vậy đều có chung một số đặc điểm như yêu cầu về khả năng thời lò phản ứng hạt nhân, nếu chậm ra quyết định có thể dẫn đến thảm hoạ gây ra do phản gian thực, độ tin cậy, tính độc lập và hiệu quả. Một câu hỏi đặt ra là tại sao hệ thống ứng phân hạch và dẫn đến bùng nổ cả hệ thống. Thời gian thực mềm là khi hệ thống nhúng lại phát triển và được phổ cập một cách nhanh chóng như hiện nay. Câu trả lời hoạt động với yêu cầu thoả mãn ràng buộc trong khung thời gian mềm, nếu vi phạm và thực ra nằm ở các yêu cầu tăng lên không ngừng trong các ứng dụng công nghệ hiện sai lệch nằm trong khoảng cho phép thì hệ thống vẫn có thể hoạt động được và chấp nay. Một trong những yêu cầu cơ bản đó là: nhận được. Ví dụ như hệ thống phát thanh truyền hình, nếu thông tin truyền đi từ trạm phát tới người nghe/nhìn chậm một vài giây thì cũng không ảnh hưởng đáng kể đến Khả năng độc lập và thông minh hoá: Điều này được chỉ rõ hơn thông qua một số các tính thời sự của tin được truyền đi và hoàn toàn được chấp nhận bởi người theo dõi. thuộc tính yêu cầu, cụ thể như: ; Độ tin cậy Thực tế thấy rằng hầu hết hệ nhúng là các hệ thời gian thực và hầu hết các hệ thời gian ; Khả năng bảo trì và nâng cấp thực là hệ nhúng. Điều này phản ánh mối quan hệ mật thiết giữa hệ nhúng và thời gian ; Sự phổ cập và tiện sử dụng thực và tính thời gian thực đã trở thành như một thuộc tính tiêu biểu của hệ nhúng. Vì ; Độ an toàn vậy hiện nay khi đề cập tới các hệ nhúng người ta đều nói tới đặc tính cơ bản của nó là ; Tính bảo mật tính thời gian thực. Hệ thời gian thực Hiệu quả: Yêu cầu này được thể hiện thông qua một số các đặc điểm của hệ thống như sau: Hệ nhúng thời ; Năng lượng tiêu thụ ; gian thực Kích thước về phần cứng và phần mềm ; Hiệu quả về thời gian thực hiện ; Kích thước và khối lượng Hệ Nhúng ; Giá thành Hình 1‐2: Phân bố và quan hệ giữa hệ nhúng và thời gian thực Phân hoạch tác vụ và chức năng hoá: Các bộ vi xử lý trong các hệ nhúng thường được 1.2 Lĩnh vực ứng dụng của hệ nhúng sử dụng để đảm nhiệm và thực hiện một hoặc một nhóm chức năng rất độc lập và cũng đặc thù cho từng phần chức năng của hệ thống lớn mà nó được nhúng vào. Ví dụ như Chúng ta có thể kể ra được rất nhiều các ứng dụng của hệ thống nhúng đang được sử một vi xử lý thực hiện một phần điều khiển cho một chức năng thu thập, xử lý và hiển dụng hiện nay, và xu thể sẽ còn tiếp tục tăng nhanh. Một số các lĩnh vực và sản phẩm thị của ôtô hay hệ thống điều khiển quá trính. Khả năng này làm tăng thêm sự chuyên thị trường rộng lớn của các hệ nhúng có thể được nhóm như sau: biệt hoá về chức năng của một hệ thống lớn và dễ dàng hơn cho quá trính xây dựng, • Các thiết bị điều khiển vận hành và bảo trì. • Ôtô, tàu điện • Truyền thông Khả năng thời gian thực: Các hệ thống đều gắn liền với việc đảm nhiệm một chức năng • Thiết bị y tế chính và phải được thực hiện đúng theo một khung thời gian qui định. Thông thường • Hệ thống đo lường thẩm định một chức năng của hệ thống phải được thực hiện và hoàn thành theo một yêu cầu thời • Toà nhà thông minh gian định trước để đảm bảo thông tin cập nhật kịp thời cho phần xử lý của các chức • Thiết bị trong các dây truyền sản xuất năng khác và có thể ảnh hưởng trực tiếp tới sự hoạt động đúng và chính xác của toàn • Rôbốt hệ thống. Tuỳ thuộc vào từng bài toán và yêu cầu của hệ thống mà yêu cầu về khả năng • thời gian thực cũng rất khác nhau. Tuy nhiên, trong thực tế không phải hệ nhúng nào cũng đều có thể thoả mãn tất cả những yêu cầu nêu trên, vì chúng là kết quả của sự thoả hiệp của nhiều yêu cầu và điều kiện nhằm ưu tiên cho chức năng cụ thể mà chúng được thiết kế. Chính điều này lại 7 8
  5. càng làm tăng thêm tính chuyên biệt hoá của các hệ/thiết bị nhúng mà các thiết bị đa FPGA, PSOC và sự tổ hợp của chúng Kèm theo đó là các kỹ thuật phát triển phần năng không thể cạnh tranh được. mềm cho phép đảm nhiệm được các bài toán yêu cầu khắt khe trên cơ sở một nền phần cứng hữu hạn về khả năng xử lý và không gian bộ nhớ. Giải quyết các bài toán thời 1.3.2 Xu thế phát triển và sự tăng trưởng của hệ nhúng gian thực như phân chia tác vụ và giải quyết cạnh tranh chia sẻ tài nguyên chung. Hiện Vì sự phát triển hệ nhúng là sự kết hợp nhuần nhuyễn giữa phần cứng và phần mềm nay cũng đã có nhiều nhà phát triển công nghệ phần mềm lớn đang hướng vào thị nên công nghệ gắn liền với nó cũng chính là công nghệ kết hợp giữa các giải pháp cho trường hệ nhúng bao gồm cả Microsoft. Ngoài một số các hệ điều hành Windows quen phần cứng và mềm. Vì tính chuyên biệt của các thiết bị / hệ nhúng như đã giới thiệu thuộc dùng cho PC, Microsoft cũng đã tung ra các phiên bản mini như WindowsCE, nên các nền phần cứng cũng được chế tạo để ưu tiên đáp ứng cho chức năng hay nhiệm WindowsXP Embedded và các công cụ phát triển ứng dụng kèm theo để phục vụ cho các vụ cụ thể của yêu cầu thiết kế đặt ra. thiết bị nhúng, điển hình như các thiết bị PDA, một số thiết bị điều khiển công nghiệp như các máy tính nhúng, IPC của Siemens Lớp hệ nhúng ưu tiên phát triển theo tiêu chí về kích thước nhỏ gọn, tiêu thụ năng lượng ít, giá thành thấp. Các chíp xử lý nhúng cho lớp hệ thống ứng dụng đó thường Có thể nói hệ nhúng đã trở thành một giải pháp công nghệ và phát triển một cách yêu cầu về khả năng tính toán ít hoặc vừa phải nên hầu hết được xây dựng trên cở sở nhanh chóng, hứa hẹn nhiều thiết bị nhúng sẽ chiếm lĩnh được thị trường rộng lớn bộ đồng xử lý 8 bít ‐16 bit hoặc cùng lắm là 32 bit và không hỗ trợ dấu phảy động do sự trong tương lai nhằm đáp ứng nhu cầu ứng dụng không ngừng trong cuộc sống của hạn chế về dung lượng và khả năng tính toán. chúng ta. Đối với lĩnh vực công nghiệp về điều khiển và tự động hoá, hệ nhúng cũng là một giải pháp đầy tiềm năng đã và đang được ứng dụng rộng rãi. Nó rất phù hợp để Lớp hệ nhúng ưu tiên thực thi khả năng xử lý tính toán với tốc độ thực hiện nhanh. Các thực thi các chức năng thông minh hoá, chuyên biệt trong các hệ thống và thiết bị công chíp xử lý nhúng cho các hệ thống đó cũng sẽ là các Chip áp dụng các công nghệ cao nghiệp, từ các hệ thống tập trung đến các hệ thống phân tán. Giải pháp hệ nhúng có thể cấp với kiến trúc xử lý song song để đáp ứng được cường độ tính toán lớn và tốc độ mà thực thi từ cấp thấp nhất của hệ thống công nghiệp như cơ cấu chấp hành cho đến các các Chip xử lý đa chức năng thông thường không đạt tới được. cấp cao hơn như giám sát điều khiển quá trình. Lớp hệ thống ưu tiên cả hai tiêu chí phát triển của hai lớp trên, tức là kích thước nhỏ 1.4 Mục đích và nội dung môn học gọn, mức tiêu thụ năng lượng thấp, tốc độ tính toán nhanh. Tuỳ theo sự thoả hiệp giữa Hệ điều khiển nhúng là một môn học mới nhằm cung cấp kiến thức cho sinh viên về các yêu cầu và xu thế phát triển chính vì vậy cũng không có gì ngạc nhiên khi chúng ta khả năng phân tích và thiết kế hệ thống điều khiển và thông minh hoá hệ thống theo thấy sự tồn tại song song của rất nhiều các Chip vi xử lý nhúng, vi điều khiển nhúng 8 chức năng theo giải pháp công nghệ. Thiết kế thực thi điều khiển trên nền phần cứng bit, 16 bit hay 32 bit cùng với các Chíp siêu xử lý khác vẫn đang được ứng dụng rộng nhúng. rãi cho hệ nhúng. Đó cũng là sự kết hợp đa dạng và sự ra đời của các hệ nhúng nói chung nhằm thoả mãn các ứng dụng phát triển không ngừng. Với mỗi một nền phần cứng nhúng thường có những đặc thù riêng và kèm theo một giải pháp phát triển phần mềm tối ưu tương ứng. Không có một giải pháp nào chung và chuẩn tắc cho tất cả các hệ nhúng. Chính vì vậy thông thường các nhà phát triển và cung cấp phần cứng cũng lại chính là nhà cung cấp giải pháp phần mềm hoặc công cụ phát triển phần mềm kèm theo. Rất phổ biến hiện nay các Chip vi xử lý hay vi điều khiển đều có các hệ phát triển (Starter Kit hay Emulator) để hỗ trợ cho các nhà ứng dụng và xây dựng hệ nhúng với hiểu biết hạn chế về phần cứng. Ngôn ngữ mã hoã phần mềm cũng thường là C hoặc gần giống như C (Likely C) thay vì phải viết hoàn toàn bằng hợp ngữ Assembly. Điều này cho phép các nhà thiết kế tối ưu và đơn giản hoá rất nhiều cho bước phát triển và xây dựng hệ nhúng. Trong xu thế phát triển không ngừng và nhằm thoả mãn được nhu cầu phát triển nhanh và hiệu quả có rất nhiều các công nghệ cho phép thực thi các giải pháp hệ nhúng. Đứng sau sự phổ cập rộng rãi của các Chip vi xử lý vi điều khiển nhúng, DSP phải kể đến các công nghệ cũng đang rất được quan tâm hiện nay như ASIC, CPLD, 9 10
  6. Bộ giải mã chuyển đổi (thông dịch) các lệnh lưu trữ ở trong bộ mã chương trình thành 2 CẤU TRÚC PHẦN CỨNG HỆ NHÚNG các mã mà ALU có thể hiểu được và thực thi. Bộ tuần tự có nhiệm vụ quản lý dòng dữ liệu trao đổi qua bus dữ liệu của VXL. Các thanh ghi được sử dụng để CPU lưu trữ tạm 2.1 Các thành phần kiến trúc cơ bản thời các dữ liệu chính cho việc thực thi các lệnh và chúng có thể thay đổi nội dung trong quá trình hoạt động của ALU. Hầu hết các thanh ghi của VXL đều là các bộ nhớ được tham chiếu (mapped) và hội nhập với khu vực bộ nhớ và có thể được sử dụng như bất kỳ khu vực nhớ khác. Các thanh ghi có chức năng lưu trữ trạng thái của CPU. Nếu các nội dung của bộ nhớ VXL và các nội dung của các thanh ghi tại một thời điểm nào đó được lữu giữ đầy đủ thì hoàn toàn có thể tạm dừng thực hiện phần chương trình hiện tại trong một khoảng thời gian bất kỳ và có thể trở lại trạng thái của CPU trước đó. Thực tế số lượng các thanh ghi và tên gọi của chúng cũng khác nhau trong các họ VXL/VĐK và thường do chính các nhà chế tạo qui định, nhưng về cơ bản chúng đều có chung các chức năng như đã nêu. Khi thứ tự byte trong bộ nhớ đã được xác định thì người thiết kế phần cứng phải thực hiện một số quyết định xem CPU sẽ lưu dữ liệu đó như thế nào. Cơ chế này cũng khác Hình 2‐1: Kiến trúc điển hình của các chíp VXL/VĐK nhúng nhau tuỳ theo kiến trúc tập lệnh được áp dụng. Có ba loại hình cơ bản: 2.1.1 Đơn vị xử lý trung tâm CPU (1) Kiến trúc ngăn xếp (2) Kiến trúc bộ tích luỹ (3) Kiến trúc thanh ghi mục đích chung Kiến trúc ngăn xếp sử dụng ngăn xếp để thực hiện lệnh và các toán tử nhận được từ đỉnh ngăn xếp. Mặc dù cơ chế này hỗ trợ mật độ mã tốt và mô hình đơn giản cho việc đánh giá cách thể hiện chương trình nhưng ngăn xếp không thể hỗ trợ khả năng truy nhập ngẫu nhiên và hạn chế hiệu suất thực hiện lệnh. Kiến trúc bộ tích luỹ với lệnh một toán tử ngầm mặc định chứa trong thanh ghi tích luỹ có thể giảm được độ phức tạp bên trong của cấu trúc CPU và cho phép cấu thành lệnh rất nhỏ gọn. Nhưng thanh ghi tích luỹ chỉ là nơi chứa dữ liệu tạm thời nên giao thông bộ nhớ rất lớn. Kiến trúc thanh ghi mục đích chung sử dụng các tập thanh ghi mục đích chung và được đón nhận như mô hình của các hệ thống CPU mới, hiện đại. Các tập thanh ghi đó Hình 2‐2: Cấu trúc CPU nhanh hơn bộ nhớ thường và dễ dàng cho bộ biên dịch xử lý thực thi và có thể được sử Người ta vẫn biết tới phần lõi xử lý của các bộ VXL là đơn vị xử lý trung tâm CPU dụng một cách hiệu quả. Hơn nữa giá thành phần cứng ngày càng có xu thế giảm đáng (Central Processing Unit) đóng vai trò như bộ não chịu trách nhiệm thực thi các phép kể và tập thanh ghi có thể tăng nhanh. Nếu cơ chế truy nhập bộ nhớ nhanh thì kiến trúc tính và thực hiện các lệnh. Phần chính của CPU đảm nhiệm chức năng này là đơn vị dựa trên ngăn xếp có thể là sự lựa chọn lý tưởng; còn nếu truy nhập bộ nhớ chậm thì logic toán học (ALU – Arthimetic Logic Unit). Ngoài ra để hỗ trợ cho hoạt động của ALU kiến trúc thanh ghi sẽ là sự lựa chọn phù hợp nhất. còn có thêm một số các thành phần khác như bộ giải mã (decoder), bộ tuần tự (sequencer) và các thanh ghi. Một số thanh ghi với chức năng điển hình thường được sử dụng trong các kiến trúc CPU như sau: 11 12
  7. năng tương thích khi có sự hoạt động phối hợp giữa các thiết bị ghép nối hay mở rộng ƒ Thanh ghi con trỏ ngăn xếp (stack pointer): trong hệ thống. Thông thường thông tin về các nhịp thời gian hoạt động cũng như đặc Thanh ghi này lưu giữ địa chỉ tiếp theo của ngăn xếp. Theo nguyên lý giá trị địa chỉ tính kỹ thuật chi tiết được cung cấp hoặc qui định bởi các nhà chế tạo. chứa trong thanh ghi con trỏ ngăn xếp sẽ giảm nếu dữ liệu được lưu thêm vào ngăn xếp và sẽ tăng khi dữ liệu được lấy ra khỏi ngăn xếp. Một số đặc trưng về thời gian của các trạng thái hoạt động cơ bản của các tín hiệu hệ thống gồm có như sau: ƒ Thanh ghi chỉ số (index register) 9 Thời gian tăng hoặc giảm Thanh ghi chỉ số được sử dụng để lưu địa chỉ khi mode địa chỉ được sử dụng. Nó còn 9 Thời gian trễ lan truyền tín hiệu được biết tới với tên gọi là thanh ghi con trỏ hay thanh ghi lựa chọn tệp (Microchip). 9 Thời gian thiết lập 9 Thời gian giữ ƒ Thanh ghi địa chỉ lệnh /Bộ đếm chương trình (Program Counter) 9 Trễ cấm hoạt động và trạng thái treo (Tri‐State) Một trong những thanh ghi quan trọng nhất của CPU là thanh ghi bộ đếm chương 9 Độ rộng xung trình. Thanh ghi bộ đếm chương trình lưu địa chỉ lệnh tiếp theo của chương trình sẽ 9 Tần số nhịp xung hoạt động được CPU xử lý. Mỗi khi lệnh được trỏ tới và được CPU xử lý thì nội dung giá trị của thanh ghi bộ đếm chương trình sẽ tăng lên một. Chương trình sẽ kết thúc khi thanh ghi ƒ Thời gian tăng hoặc giảm PC có giá trị bằng địa chỉ cuối cùng của chương trình nằm trong bộ nhớ chương trình. ƒ Thanh ghi tích lũy (Accumulator) Thanh ghi tích lũy là một thanh ghi giao tiếp trực tiếp với ALU, được sử dụng để lưu giữ các toán tử hoặc kết quả của một phép toán trong quá trình hoạt động của ALU. 2.1.2 Xung nhịp và trạng thái tín hiệu Trong VXL và các vi mạch số nói chung, hoạt động của hệ thống được thực hiện đồng bộ hoặc dị bộ theo các xung nhịp chuẩn. Các nhịp đó được lấy trực tiếp hoặc gián tiếp Hình 2‐4: Mô tả trạng thái tín hiệu logic tăng và giảm từ một nguồn xung chuẩn thường là các mạch tạo xung hoặc dao động thạch anh. Để mô tả hoạt động của hệ thống, các tín hiệu dữ liệu và điều khiển thường được mô tả Thời gian tăng được định nghĩa là khoảng thời gian để tín hiệu tăng từ 20% đến 80% trạng thái theo giản đồ thời gian và mức tín hiệu như được chỉ ra trong Hình 2‐3: Mô tả mức tín hiệu cần thiết. Thời gian giảm là khoảng thời gian để tín hiệu giảm từ 80% đến và trạng thái tín hiệu hoạt động trong VXL 20% mức tín hiệu cần thiết. ƒ Thời gian trễ lan truyền: Là khoảng thời gian tín từ khi thay đổi tín hiệu vào cho tới khi có sự thay đổi tín hiệu ở đầu ra. Đặc tính này thường do cấu tạo và khả năng truyền dẫn tín hiệu vật lý trong hệ thống tín hiệu. Hình 2‐3: Mô tả và trạng thái tín hiệu hoạt động trong VXL Mục đích của việc mô tả trạng thái tín hiệu theo giản đồ thời gian và mức tín hiệu là để Hình 2‐5: Mô tả trạng thái và độ trễ lan truyền tín hiệu phân tích và xác định chuỗi sự kiện hoạt động chi tiết trong mỗi chu kỳ bus. Nhờ việc ƒ Thời gian thiết lập và lưu giữ mô tả này chúng ta có thể xem xét đến khả năng đáp ứng thời gian của các sự kiện thực Khoảng thời gian cần thiết để tín hiệu trích mẫu đạt tới một trạng thái ổn định trước khi thi trong hệ thống và thời gian cần thiết để thực thi hoạt động tuần tự cũng như là khả nhịp xung chuẩn đồng hồ thay đổi được gọi là thời gian thiết lập. Thời gian lưu giữ là 13 14
  8. khoảng thời gian cần thiết để duy trì tín hiệu trích mẫu ổn định sau khi xung nhịp Hình 2‐8: Mô tả chu kỳ tín hiệu 3 trạng thái và contention chuẩn đồng hồ thay đổi. Thực chất khoảng thời gian thiết lập và thời gian lưu giữ là cần Độ rộng xung và tần số nhịp xung chuẩn thiết để đảm bảo tín hiệu được ghi nhận chính xác và ổn định trong quá trình hoạt động và chuyển mức trạng thái. Giản đồ thời gian trong Hình 2‐6: Thời gian thiết lập và lưu giữ minh họa thời gian thiết lập và lưu giữ trong hoạt động của Triger D. Hình 2‐6: Thời gian thiết lập và lưu giữ Hình 2‐9: Độ rộng và tần số xung nhịp chuẩn Trong trường hợp hoạt động chuyển trạng thái tín hiệu không đồng bộ và không đảm bảo được thời gian thiết lập và lưu giữ sẽ có thể dẫn đến sự mất ổn định hay không xác 2.1.3 Bus địa chỉ, dữ liệu và điều khiển định mức tín hiệu trong hệ thống. Hiện tượng này được biết tới với tên gọi là ƒ Bus địa chỉ metastabilit. Để minh họa cho hiện tượng này trong Hình 2‐7 mô tả hoạt động lỗi của Bus địa chỉ là các đường dẫn tín hiệu logic một chiều để truyền địa chỉ tham chiếu tới một Triger khi các mức tín hiệu vào không thỏa mãn yêu cầu về thời thiết lập và lưu các khu vực bộ nhớ và chỉ ra dữ liệu được lưu giữ ở đâu trong không gian bộ nhớ. giữ. Trong qúa trình hoạt động CPU sẽ điều khiển bus địa chỉ để truyền dữ liệu giữa các khu vực bộ nhớ và CPU. Các địa chỉ thông thường tham chiếu tới các khu vực bộ nhớ hoặc các khu vực vào ra, hoặc ngoại vi. Dữ liệu được lưu ở các khu vực đó thường là 8‐ bit (1 byte), 16‐bit, hoặc 32‐bit tùy thuộc vào cấu trúc từng loại vi xử lý/vi điều khiển. Hầu hết các vi điều khiển thường đánh địa chỉ dữ liệu theo khối 8‐bit. Các loại vi xử lý 8‐bit, 16‐bit và 32‐bit nói chung cũng đều có thể làm việc trao đổi với kiểu dữ liệu 8‐bit và 16‐bit. Chúng ta vẫn thường được biết tới khái niệm địa chỉ truy nhập trực tiếp, đó là khả năng CPU có thể tham chiếu và truy nhập tới trong một chu kỳ bus. Nếu vi xử lý có N bit địa chỉ tức là nó có thể đánh địa chỉ được 2N khu vực mà CPU có thể tham chiếu trực tiếp Hình 2‐7: Hiện tượng Metastabilit trong hoạt động của Triger D tới. Qui ước các khu vực được đánh địa chỉ bắt đầu từ địa chỉ 0 và tăng dần đến 2N‐1. Chu kỳ tín hiệu 3 trạng thái và contention Hiện nay các vi xử lý và vi điều khiển nói chung chủ yếu vẫn sử dụng phổ biến các bus dữ liệu có độ rộng là 16, 20, 24, hoặc 32‐bit. Nếu đánh địa chỉ theo byte thì một vi xử lý 16‐bit có thể đánh địa chỉ được 216 khu vực bộ nhớ tức là 65,536 byte = 64Kbyte. Tuy nhiên có một số khu vực bộ nhớ mà CPU không thể truy nhập trực tiếp tới tức là phải sử dụng nhiều nhịp bus để truy nhập, thông thường phải kết hợp với việc điều khiển phần mềm. Kỹ thuật này chủ yếu được sử dụng để mở rộng bộ nhớ và thường được biết tới với khái niệm đánh địa chỉ trang nhớ khi nhu cầu đánh địa chỉ khu vực nhớ vượt quá phạm vi có thể đánh địa chỉ truy nhập trực tiếp. Ví dụ: CPU 80286 có 24‐bit địa chỉ sẽ cho phép đánh địa chỉ trực tiếp cho 224 byte (16 Mbyte) nhớ. CPU 80386 và các loại vi xử lý mạnh hơn có không gian địa chỉ 32‐bit sẽ có thể đánh được tới 232 byte (4Gbyte) địa chỉ trực tiếp. 15 16
  9. ƒ Bus dữ liệu Trong kiến trúc von Neumann không phân biệt vùng chứa dữ liệu và mã chương trình. Bus dữ liệu là các kênh truyền tải thông tin theo hai chiều giữa CPU và bộ nhớ hoặc các Cả chương trình và dữ liệu đều được truy nhập theo cùng một đường. Điều này cho thiết bị ngoại vi vào ra. Bus dữ liệu được điều khiển bởi CPU để đọc hoặc viết các dữ phép đưa dữ liệu vào vùng mã chương trình ROM, và cũng có thể lưu mã chương trình liệu hoặc mã lệnh thực thi trong qúa trình hoạt động của CPU. Độ rộng của bus dữ liệu vào vùng dữ liệu RAM và thực hiện từ đó. nói chung sẽ xác định được lượng dữ liệu có thể truyền và trao đổi trên bus. Tốc độ truyền hay trao đổi dữ liệu thường được tính theo đơn vị là [byte/s]. Số lượng đường bit dữ liệu sẽ cho phép xác định được số lượng bit có thể lưu trữ trong mỗi khu vực tham chiếu trực tiếp. Nếu một bus dữ liệu có khả năng thực hiện một lần truyền trong 1 μs, thì bus dữ liệu 8‐bit sẽ có băng thông là 1Mbyte/s, bus 16‐bit sẽ có băng thông là 2Mbyte/s và bus 32‐bit sẽ có băng thông là 4Mbyte/s. Trong trường hợp bus dữ liệu 8‐ bit với chu kỳ bus là T=1μs (tức là sẽ truyền được 1byte/1chu kỳ) thì sẽ truyền được 1 Mbyte trong 1s hay 2Mbyte trong 2s. ƒ Bus điều khiển Bus điều khiển phục vụ truyền tải các thông tin dữ liệu để điều khiển hoạt động của hệ Hình 2‐11: Kiến trúc bộ nhớ von Neumann và Havard thống. Thông thường các dữ liệu điều khiển bao gồm các tín hiệu chu kỳ để đồng bộ các nhịp chuyển động và hoạt động của hệ thống. Bus điều khiển thường được điều Kiến trúc Havard tách/phân biệt vùng lưu mã chương trình và dữ liệu. Mã chương trình khiển bởi CPU để đồng bộ hóa nhịp hoạt động và dữ liệu trao đổi trên các bus. Trong chỉ có thể được lưu và thực hiện trong vùng chứa ROM và dữ liệu cũng chỉ có thể lưu trường hợp vi xử lý sử dụng dồn kênh bus dữ liệu và bus địa chỉ tức là một phần hoặc và trao đổi trong vùng RAM. Hầu hết các vi xử lý nhúng ngày nay sử dụng kiến trúc bộ toàn bộ bus dữ liệu sẽ được sử dụng chung chia sẻ với bus địa chỉ thì cần một tín hiệu nhớ Havard hoặc kiến trúc Havard mở rộng (tức là bộ nhớ chương trình và dữ liệu tách điều khiển để phân nhịp truy nhập cho phép chốt lưu trữ thông tin địa chỉ mỗi khi bắt biệt nhưng vẫn cho phép khả năng hạn chế để lấy dữ liệu ra từ vùng mã chương trình). đầu một chu kỳ truyền. Một ví dụ về các chu kỳ bus và sự đồng bộ của chúng trong Trong kiến trúc bộ nhớ Havard mở rộng thường sử dụng một số lượng nhỏ các con trỏ hoạt động của hệ thống bus địa chỉ và dữ liệu dồn kênh được chỉ ra trong Hình 2‐10. để lấy dữ liệu từ vùng mã chương trình theo cách nhúng vào trong các lệnh tức thời. Đây là hoạt động điển hình trong họ vi điều khiển 8051 và nhiều loại tương tự. Một số Chip vi điều khiển nhúng tiêu biểu hiện nay sử dụng cấu trúc Havard là 8031, PIC, Atmel AVR90S. Nếu sử dụng Chip 8031 chúng ta sẽ nhận thấy điều này thông qua việc truy nhập lấy dữ liệu ra từ vùng dữ liệu RAM hoặc từ vùng mã chương trình. Chúng ta có một vài con trỏ được sử dụng để lấy dữ liệu ra từ bộ nhớ dữ liệu RAM, nhưng chỉ có duy nhất một con trỏ DPTR có thể được sử dụng để lấy dữ liệu ra từ vùng mã chương trình. Hình 2‐11 mô tả nguyên lý kiến trúc của bộ nhớ von Neumann và Harvard. Ưu điểm nổi bật của cấu trúc bộ nhớ Harvard so với kiến trúc von Neumann là có hai kênh tách biệt để truy nhập vào vùng bộ nhớ mã chương trình và dữ liệu nhờ vậy mà mã chương trình và dữ liệu có thể được truy nhập đồng thời và làm tăng tốc độ luồng trao đổi với bộ xử lý. Hình 2‐10: Chu kỳ hoạt động bus dồn kêch 2.1.4 Bộ nhớ Kiến trúc bộ nhớ Kiến trúc bộ nhớ được chia ra làm hai loại chính và được áp dụng rộng rãi trong hầu hết các Chip xử lý nhúng hiện nay là kiến trúc bộ nhớ von Neumann và Havard. 17 18
  10. ƒ Bộ nhớ Flash Cũng giống như EPROM được cấu tạo bởi một mảng transistor khả trình nhưng có thể xoá được bằng điện và chính vì vậy có thể nạp lại chương trình mà không cần tách ra khỏi nền phần cứng VXL. Ưu điểm của bộ nhớ flash là có thể lập trình trực tiếp trên mạch cứng mà nó đang thực thi trên đó. Hình 2‐12: Nguyên lý điều khiển tách kênh truy nhập bus địa chỉ và bus dữ liệu Bộ nhớ chương trình – PROM (Programmable Read Only Memory) Hình 2‐14: Sơ đồ nguyên lý ghép nối EPROM với VXL Vùng để lưu mã chương trình. Có ba loại bộ nhớ PROM thông dụng được sử dụng cho Bộ nhớ dữ liệu ‐ RAM hệ nhúng và sẽ được giới thiệu lần lượt sau đây. Vùng để lưu hoặc trao đổi dữ liệu trung gian trong quá trình thực hiện chương trình. ƒ EPROM Bao gồm một mảng các transistor khả trình. Mã chương trình sẽ được ghi trực tiếp và vi xử lý có thể đọc ra để thực hiện. EPROM có thể xoá được bằng tia cực tím và có thể được lập trình lại. Cấu trúc vật lý của EPROM được mô tả như trong Hình 2‐13. Hình 2‐15: Cấu trúc nguyên lý bộ nhớ RAM Có hai loại SRAM và DRAM Hình 2‐13: Nguyên lý cấu tạo và hoạt động xoá của EPROM 19 20
  11. dụng phổ biến trong các nhiệm vụ đếm xung, đo khoảng thời gian các sự kiện, hoặc định chu kỳ thời gian thực thi các tác vụ. Một trong những ứng dụng quan trọng của bộ định thời gian là tạo nhịp từ bộ tạo xung thạch anh cho bộ truyền thông dị bộ đa năng hoạt động. Thực chất đó là ứng dụng để thực hiện phép chia tần số. Để đạt được độ chính xác, tần số thạch anh thường được chọn sao cho các phép chia số nguyên được thực hiện chính xác đảm bảo cho tốc độ truyền thông dữ liệu được tạo ra chính xác. Chính vì vậy họ vi điều khiển 80C51 thường hay sử dụng thạch anh có tần số dao động là 11.059 thay vì 12MHz để tạo ra nhịp hoạt động truyền thông tốc độ chuẩn 9600. Hình 2‐16: Cấu trúc một phần tử nhớ DRAM Hình 2‐18: Bộ định thời/ bộ đếm 8 bit của AVR Bộ điều khiển ngắt Ngắt là một sự kiện xảy ra làm dừng hoạt động chương trình hiện tại để phục vụ thực thi một tác vụ hay một chương trình khác. Cơ chế ngắt giúp CPU làm tăng tốc độ đáp ứng phục vụ các sự kiện trong chương trình hoạt động của VXL/VĐK. Các VĐK khác nhau sẽ định nghĩa các nguồn tạo ngắt khác nhau nhưng đều có chung một cơ chế hoạt Hình 2‐17: Nguyên lý ghép nối (mở rộng) RAM với VXL động ví dụ như ngắt truyền thông nối tiếp, ngắt bộ định thời gian, ngắt cứng, ngắt 2.1.5 Không gian và phân vùng địa chỉ ngoài Khi một sự kiện yêu cầu ngắt xuất hiện, nếu được chấp nhận CPU sẽ lưu cất trạng thái hoạt động cho chương trình hiện tại đang thực hiện ví dụ như nội dung bộ 2.1.6 Ngoại vi đếm chương trình (con trỏ lệnh) các nội dung thanh ghi lưu dữ liệu điều khiển chương trình nói chung để thực thi chương trình phục vụ tác vụ cho sự kiện ngắt. Thực chất Bộ định thời gian/Bộ đếm quá trình ngắt là CPU nhận dạng tín hiệu ngắt, nếu chấp nhận sẽ đưa con trỏ lệnh Hầu hết các chip vi điều khiển ngày nay đều có ít nhất một bộ định thời gian/bộ đếm có chương trình trỏ tới vùng mã chứa chương trình phục vụ tác vụ ngắt. Vì vậy mỗi một thể cấu hình hoạt động linh hoạt theo các mode phục vụ nhiều mục đích trong các ứng ngắt đều gắn với một vector ngắt như một con trỏ lưu thông tin địa chỉ của vùng bộ dụng xử lý, điều khiển. Các bộ định thời gian cho phép tạo ra các chuỗi xung và ngắt nhớ chứa mã chương trình phục vụ tác vụ của ngắt. CPU sẽ thực hiện chương trình thời gian hoặc đếm theo các khoảng thời gian có thể lập trình. Chúng thường được ứng 21 22
  12. phục vụ tác vụ ngắt đến khi nào gặp lệnh quay trở về chương trình trước thời điểm sự kiện ngắt xảy ra. Có thể phân ra 2 loại nguồn ngắt: Ngắt cứng và Ngắt mềm. ƒ Ngắt mềm Ngắt mềm thực chất thực hiện một lời gọi hàm đặc biệt mà được kích hoạt bởi các nguồn ngắt là các sự kiện xuất hiện từ bên trong chương trình và ngoại vi tích hợp trên Hình 2‐19: Sơ đồ nguyên lý hoạt động của bộ định thời chó canh Chip ví dụ như ngắt thời gian, ngắt chuyển đổi A/D, Cơ chế ngắt này còn được hiểu là loại thực hiện đồng bộ với chương trình vì nó được kích hoạt và thực thi tại các thời điểm xác định trong chương trình. Hàm được gọi sẽ thực thi chức năng tương ứng với yêu cầu ngắt. Các hàm đó thường được trỏ bởi một vector ngắt mà đã được định nghĩa và gán cố định bởi nhà sản xuất Chip. Ví dụ như hệ điều hành của PC sử dụng ngắt số 21hex để gán cho ngắt truy nhập đọc dữ liệu từ đĩa cứng và xuất dữ liệu ra máy in. ƒ Ngắt cứng Ngắt cứng có thể được xem như là một lời gọi hàm đặc biệt trong đó nguồn kích hoạt là một sự kiện đến từ bên ngoài chương trình thông qua một cấu trúc phần cứng (thường được kết nối với thế giới bên ngoài qua các chân ngắt). Ngắt cứng thường được hiểu hoạt động theo cơ chế dị bộ vì các sự kiện ngắt kích hoạt từ các tín hiệu ngoại vi bên ngoài và tương đối độc lập với CPU, thường là không xác định được thời điểm kích hoạt. Khi các ngắt cứng được kích hoạt CPU sẽ nhận dạng và thực hiện lời gọi hàm thực thi chức năng phục vụ sự kiện ngắt tương ứng. Trong các cơ chế ngắt khoảng thời gian từ khi xuất hiện sự kiện ngắt (có yêu cầu phục vụ ngắt) tới khi dịch vụ ngắt được thực thi là xác định và tuỳ thuộc vào công nghệ phần cứng xử lý của Chip. Bộ định thời chó canh – Watchdog Timer Thông thường khi có một sự cố xảy ra làm hệ thống bị treo hoặc chạy quẩn, CPU sẽ không thể tiếp tục thực hiện đúng chức năng. Đặc biệt khi hệ thống phải làm việc ở chế độ vận hành tự động và không có sự can thiệp trực tiếp thường xuyên bởi người vận hành. Để thực hiện cơ chế tự giám sát và phát hiện sự cố phần mềm, một số VXL/VĐK có thêm một bộ định thời chó canh. Bản chất đó là một bộ định thời đặc biệt để định nghĩa một khung thời gian hoạt động bình thường của hệ thống. Nếu có sự cố phần mềm xảy ra sẽ làm hệ thống bị treo khi đó bộ định thời chó canh sẽ phát hiện và giúp hệ thống thoát khỏi trạng thái đó bằng cách thực hiện khởi tạo lại chương trình. Chương trình hoạt động khi có bộ định thời phải đảm bảo reset nó trước khi khung thời gian bị vi phạm. Khung thời gian này được định nghĩa phụ thuộc vào sự đánh giá của người thực hiện phần mềm, thiết lập khoảng thời gian đảm bảo chắc chắn hệ thống thực hiện bình thường không có sự cố phần mềm. (a) (b) Hình 2‐20: Nguyên lý hoạt động bộ định thời chó canh Có một số cơ chế thực hiện cài đặt bộ định thời cho canh để giám sát hoạt động của hệ Bộ điều khiển truy nhập bộ nhớ trực tiếp – DMA thống như sau: DMA (Direct Memory Access) là cơ chế hoạt động cho phép hai hay nhiều vi xử lý hoặc ngoại vi chia sẻ bus chung. Thiết bị nào đang có quyền điều khiển bus sẽ có thể toàn 23 24
  13. quyền truy nhập và trao đổi dữ liệu trực tiếp với các bộ nhớ như hệ thống có một vi xử cần có một bước xử lý để quyết định xem thiết bị nào sẽ đươc nhận quyền điều khiển lý. Ứng dụng phổ biến nhất của DMA là chia sẻ bộ nhớ chung giữa hai bộ vi xử lý hoặc trong trường hợp có nhiều hơn một thiết bị có nhu cầu sử dụng DMA. Thông thường các ngoại vi để truyền dữ liệu trực tiếp giữa thiết bị ngoại vi vào/ra và bộ nhớ dữ liệu kiểu DMA chu kỳ nhóm cần ít dữ liệu thông tin điều khiển (overhead) nên có khả năng của VXL. trao đổi với tốc độ cao nhưng lại chiếm nhiều thời gian truy nhập bus do truyền cả khối dữ liệu lớn. Điều này có thể ảnh hưởng đến hoạt động của cả hệ thống do trong suốt Truy nhập bộ nhớ trực tiếp được sử dụng để đáp ứng nhu cầu trao đổi dữ liệu vào ra quá trình thực hiện DMA nhóm, CPU sẽ bị khoá quyền truy nhập bộ nhớ và không thể tốc độ cao giữa ngoại vi với bộ nhớ. Thông thường các ngoại vi kết nối với hệ thống xử lý các nhiệm vụ khác của hệ thống mà có nhu cầu bộ nhớ, ví dụ như các dịch vụ phải chia sẻ bus dữ liệu và được điều khiển bởi CPU trong quá trình trao đổi dữ liệu. ngắt, hoặc các tác vụ thời gian thực Điều này làm hạn chế tốc độ trao đổi, để tăng cường tốc độ và loại bỏ sự can thiệp của CPU, đặc biệt trong trường hợp cần truyền một lượng dữ liệu lớn. Cơ chế hoạt động ƒ Chu kỳ rỗi (Cycle Stealing) DMA được mô tả như trong Hình 2‐21. Thủ tục được bắt đầu bằng việc yêu cầu thực Trong kiểu này DMA sẽ được thực hiện trong những thời điểm chu kỳ bus mà CPU hiện DMA với CPU. Sau khi xử lý, nếu được chấp nhận CPU sẽ trao quyền điều khiển không sử dụng bus do đó không cần thực hiện thủ tục xử lý cấp phát quyền truy nhập bus cho ngoại vi và thực hiện quá trình trao đổi dữ liệu. Sau khi thực hiện xong CPU sẽ và thực hiện DMA. nhận được thông báo và nhận lại quyền điều khiển bus. Trong cơ chế DMA, có hai cách để truyền dữ liệu: kiểu DMA chu kỳ đơn, và kiểu DMA chu kỳ nhóm (burst). Hầu hết các vi xử lý hiện đại đều sử dụng gần như 100% dung lượng bộ nhớ và băng thông của bus nên sẽ không có nhiều thời gian dành cho DMA thực hiện. Để tiết kiệm và tối ưu tài nguyên thì cần có một trọng tài phân xử và dữ liệu sẽ được truyền đi xếp chồng theo thời gian. Nói chung kiểu DMA dạng burst hiệu quả nhất khi khoảng thời gian cần thực hiện DMA tương đối nhỏ. Trong khoảng thời gian thực hiện DMA, toàn bộ băng thông của bus sẽ được sử dụng tối đa và toàn bộ khối dữ liệu sẽ được truyền đi trong một khoảng thời gian rất ngắn. Nhưng nhược điểm của nó là nếu dữ liệu cần truyền lớn và cần một khoảng thời gian dài thì sẽ dẫn đến việc block CPU và có thể bỏ qua việc xử lý các sự kiện và tác vụ khác. Đối với DMA chu kỳ đơn thì yêu cầu truy nhập bộ nhớ, truyền một từ dữ liệu và giải phóng bus. Cơ chế này cho phép thực hiện truyền interleave và được biết tới với tên gọi inteleaved DMA. Kiểu truyền DMA chu kỳ đơn phù hợp để truyền dữ liệu trong một khoảng thời gian dài mà có đủ thời gian để yêu cầu truy nhập và giải phóng bus cho mỗi lần truyền một từ dữ liệu. Chính vì vậy sẽ giảm băng thông truy nhập bus do phải mất nhiều thời gian để yêu cầu truy nhập và giải phóng bus. Trong trường hợp này CPU và các thiết bị khác vẫn có thể chia sẻ và truyền dữ liệu nhưng trong một dải băng thông hẹp. Trong nhiều hệ thống bus thực hiện cơ chế xử lý và giải quyết yêu cầu truy nhập (trọng tài) thông qua dữ liệu truyền vì vậy cũng không ảnh hưởng nhiều đến tốc độ truyền DMA. DMA được yêu cầu khi khả năng điều khiển của CPU để truyền dữ liệu thực hiện quá chậm. DMA cũng thực sự có ý nghĩa khi CPU đang phải thực hiện các tác vụ khác mà không cần nhu cầu truy nhập bus. Hình 2‐21: Nhịp hoạt động DMA IC chức năng chuyên dụng ƒ DMA chu kỳ đơn và nhóm DAC/ADC Trong kiểu hoạt động DMA chu kỳ nhóm, ngoại vi sẽ nhận được quyền điều khiển và truyền khối dữ liệu rồi trả lại quyền điều khiển cho CPU. Trong cơ chế DMA chu kỳ đơn ngoại vi sau khi nhân được quyền điều khiển bus chỉ truyền một từ dữ liệu rồi trả lại ngay quyền kiểm soát bộ nhớ và bus dữ liệu cho CPU. Trong cơ chế thực hiện DMA 25 26
  14. Hình 2‐24: Sơ đồ nguyên lý cấu trúc ADC1754A Hình 2‐22: Sơ đồ nguyên lý mạch chuyển đổi DAC Hình 2‐23: Sơ đồ nguyên lý mạch chuyển đổi ADC Ví dụ ADC 754A Đặc điểm kỹ thuật: 9 Chế tạo theo công nghệ CMOS. Hình 2‐25: Sơ đồ bố trí chân của Chip ADC574A 9 12‐bit với giao diện tương thích với các loại VXL/VĐK 8, 12 và 16‐bit. Có thể lập trình để hoạt động chuyển đổi 8 bit hoặc 12 bit. Nguyên lý điều khiển 9 Tín hiệu dữ liệu ra tương thích với chuẩn TTL và ghép nối thông qua loại cổng ADC 574 được điều khiển bởi các chân tín hiệu như mô tả trong bảng sau: logic 3 trạng thái. Bảng 1: Tín hiệu điều khiển ADC 574A 9 Dải giá trị điện áp đầu vào có thể lựa chọn nhờ cấu hình giá trị điện trở nội đầu vào để nhận các dải tín hiệu (0÷10)V, (0÷20)V, (‐5÷+5)V, và (‐10÷+10)V. Ký hiệu Định nghĩa Chức năng 9 Có thêm khả năng cung cấp nguồn tham chiếu nội Vref = +10V. Chip Enable Must be high (“1”) to either initiate a conversion or read output data. 0-1 edge may be used to CE (Pin 6) 9 Nguồn cung cấp có thể là +5V, ± 12V, hoặc ± 15V (active high) initiate a conversion. 9 Thời gian chuyển đổi cực đại là 25 µs với thời gian truy nhập bus là 150ns. Chip Select Must be low (“0”) to either initiate a conversion or read output data. 1-0 edge may be used to CS (Pin 3) (active low) initiate a conversion. 27 28
  15. Read/Convert Must be low (“0”) to initiate either 8- or 12-bit conversions. 1-0 edge may be used to initiate a R / C (Pin 5) (“1” = read) conversion. (“0” = convert) Must be high (“1”) to read output data. 0-1 edge may be used to initiate a read operation. In the start-convert mode, AO selects 8-bit (AO= “1”) or 12-bit (AO= "0") conversion mode. Byte Address When reading output data in two 8-bit bytes, AO= “0” accesses 8 MSBs (high byte) and AO= “1” AO (Pin 4) Short Cycle accesses 4 LSBs and trailing “0s” (low byte). Data Mode Select When reading output data, 12/8 = “1” enables all 12 output bits simultaneously. 12/8 = “0” will 12 / 8 (Pin 2) (“1” = 12 bits) enable the MSBs or LSBs as determined by the AOline. (“0” = 8 bits) (1) Thiết lập chế độ hoạt động: Mode chuyển đổi 8‐bit hay 12‐bit được thiết lập bởi tín hiệu A0. Tín hiệu này phải được chốt trước khi nhận được tín hiệu lệnh bắt đầu thực hiện chuyển đổi. (2) Kích hoạt quá trình chuyển đổi: Bộ chuyển đổi thực hiện chuyển đổi khi nhận được tín hiệu mệnh lệnh tích cực từ chân tín hiệu hoặc CE/CS, hoặc R/C với điều kiện các tín hiệu điều khiển khác đã được xác lập. (3) Trạng thái chuyển đổi: Tín hiệu đầu ra STATUS báo trạng thái chuyển đổi hiện hành của ADC; thiết lập ở mức cao nếu đang thực hiện chuyển đổi và ở mức thấp nếu đã hoàn thành. Trong quá trình chuyển đổi các tín hiệu điều khiển bị khoá và dữ liệu không thể được đọc vì các đường tín hiệu ra được chuyển sang trạng thái cao trở. (4) Đọc dữ liệu ra: Quá trình đọc dữ liệu ra có thể được thực thi nếu các tín hiệu điều khiển xác lập ở trạng thái cho phép đọc và tín hiệu STATUS ở trạng thái thấp. Tuỳ thuộc vào mode chuyển đổi được thiết lập và định dạng dữ liệu đọc ra Hình 2‐26: Giản đồ khối chức năng của 82C55A bởi tổ hợp trạng 12 / 8 và A0. Chức năng và ý nghĩa của các chân on chip của 82C55A được mô tả trong Bảng 2: Chức Cổng song song khả trình 82C55A năng các chân on chip của 82C55A. 82C55A là một giao diện ngoại vi cổng song song khả trình được chế tạo theo công nghệ CMOS. Nó là một thiết bị ngoại vi vào ra khả trình đa mục đích và có thể được sử Bảng 2: Chức năng các chân on chip của 82C55A dụng với nhiều loại VXL/VĐK khác nhau. 82C55A có 24 chân vào ra on Chip được chia Ký hiệu Kiểu Mô tả chức năng VCC: The +5V power supply pin. A 0.1µF capacitor between VCC and GND is recommended for ra thành 2 nhóm, mỗi nhóm 12 chân và có thể được sử dụng theo 3 chế độ hoạt động VCC decoupling. khác nhau. Hình 2‐26 mô tả giản đồ khối chức năng của chip 82C55A. GND GROUND D0-D7 I/O DATA BUS: The Data Bus lines are bidirectional three-state pins connected to the system data bus. RESET: A high on this input clears the control register and all ports (A, B, C) are set to the input RESET I mode with the “Bus Hold” circuitry turned on CHIP SELECT: Chip select is an active low input used to enable the 82C55A onto the Data Bus for CS I CPU communications. READ: Read is an active low input control signal used by the CPU to read status information or data RD I via the data bus. WRITE: Write is an active low input control signal used by the CPU to load control words and data WR I into the 82C55A. ADDRESS: These input signals, in conjunction with the RD and WR inputs, control the selection of A0-A1 I one of the three ports or the control word register. A0 and A1 are normally connected to the least significant bits of the Address Bus A0, A1. PORT A: 8-bit input and output port. Both bus hold high and bus hold low circuitry are present on PA0-PA7 I/O this port. PB0-PB7 I/O PORT B: 8-bit input and output port. Bus hold high circuitry is present on this port. 29 30
  16. PC0-PC7 I/O PORT C: 8-bit input and output port. Bus hold circuitry is present on this port. 9 Các đường tín hiệu vào không được chốt 9 Có thể cấu hình 16 kiểu hoạt động vào ra khác nhau 82C55A cung cấp 3 chế độ hoạt động chính và có thể lập trình để lựa chọn Mode 1 (Vào ra có bắt tay): Chế độ hoạt động này cung cấp khả năng truyền dữ liệu tới • Mode 0: Hoạt động vào ra cơ bản hoặc đi từ một cổng cụ thể cùng với các tín hiệu bắt tay. Trong chế độ này cổng A, B • Mode 1: Hoạt động vào ra nắm bắt (strobed) được sử dụng để truyền dữ liệu và cổng C hoạt động như cổng điều khiển cơ chế động • Mode 2: Hoạt động Bus 2 chiều bộ bắt tay. Chế độ hoạt động này cung cấp các chức năng chính sau: Việc lựa chọn chế độ hoạt động được thực hiện thông qua thanh ghi từ điều khiển và 9 Hai nhóm cổng (Nhóm A và Nhóm B). Mỗi nhóm bao gồm 1 cổng 8‐bit và một được mô tả như trong Hình 2‐27. cổng dữ liệu điều khiển 4‐bit. 9 Cổng dữ liệu 8‐bit có thể hoạt động như hoặc là cổng vào hoặc là cổng ra và cả hai chiều dữ liệu đều được chốt. 9 The 4‐bit port is used for control and status of the 8‐bit port. Mode 2 (Bus vào ra hai chiều có bắt tay): Chế độ hoạt động này cung cấp khả năng truyền thông với các ngoại vi hoặc các bus dữ liệu 8‐bit cho việc truyền nhận dữ liệu. Các tín hiệu bắt tay được cung cấp để duy trì dòng tín hiệu bus tương tự như chế độ 1. Các cơ chế tạo ngắt cũng có thể được thực hiện ở chế độ này. Một số các chức năng chính hỗ trợ trong chế độ này bao gồm: 9 Chỉ sử dụng nhóm A 9 Một cổng bus 2 chiều 8‐bit (cổng A) và một cổng điều khiển 5‐bit (Cổng C) 9 Cả hai chiều dữ liệu vào và ra đều đươc chốt. 9 Cổng điều khiển 5‐bit (Cổng C) được sử dụng cho mục đích điều khiển và trạng thái cho cổng A để trao đổi dữ liệu 2 chiều 8 bit. Bộ định thời/Bộ đếm C8254 Hình 2‐27: Thanh ghi từ điều khiển chọn chế độ hoạt động cho 82C55A Đây là bộ đếm tốc độ cao cung cấp 3 bộ định thời 16‐bit độc lập và có thể được cấu hình để hoạt động ở nhiều chế độ hoạt động. Mỗi bộ đếm có các kênh dữ liệu và điều khiển Khi đầu vào RESET được điều khiển ở mức cao thì tất cả các cổng sẽ được thiết lập hoạt riêng biệt. Hỗ trợ 2 kiểu mã hoá đếm nhị phân (0‐ 65535) hoặc BCD (binary coded động ở chế độ cổng vào với 24 đường tín hiệu vào duy trì ở mức logic 1. Sau khi tín decimal) (0‐9999). Có 4 thanh ghi tích hợp On‐chip để lưu giá trị đếm và cấu hình hoạt hiệu điều khiển RESET ở mức tích cực bị loại bỏ thì 82C55A có thể duy trì chế độ hoạt động (từ điều khiển). động mà không cần thêm bất kỳ việc khởi tạo nào nữa. Điều này sẽ giúp loại bỏ được các điện trở treo cao hoặc treo thấp trong các thiết kế cho mạch CMOS. Khi kích hoạt Tần số hoạt động của bộ đếm có thể làm việc với xung nhịp tần số 10 MHz và hỗ trợ 6 chế độ thiết lập thì thanh ghi từ điều khiển sẽ chứa giá trị 9Bh. Trong qúa trình thực chế độ hoạt động và có thể cấu hình riêng lẻ. hiện chương trình vẫn có thể thay đổi lựa chọn chế độ hoạt động khác nhau, điều này cho phép 82C55 hoạt động một cách đa dạng đáp ứng cho nhiều bài toán ứng dụng khác nhau. Trong qúa trình thanh ghi từ điều khiển đang được viết thì tất cả các cổng được thiết lập hoạt động ở chế độ cổng ra sẽ được khởi tạo bằng zero. Mode 0 (Vào ra cơ bản): Cấu hình chế độ hoạt động này cung cấp các hoạt động vào ra đơn giản cho cả 3 cổng A, B và C. Dữ liệu được trao đổi trực tiếp và không cần phải có cơ chế bắt tay. Chế độ hoạt động này hỗ trợ các chức năng cụ thể như sau: 9 Hai cổng 8‐bit và 2 cổng 4‐bit 9 Bất kỳ cổng nào cũng có thể là cổng vào hoặc cổng ra 9 Các đường dữ liệu tín hiệu ra được chốt 31 32
  17. Giao diện nối tiếp USART Hình 2‐28: Sơ đồ cấu trúc chức năng 8254 Hình 2‐30: Cấu trúc đơn giản hoá của USART 2.1.7 Giao diện Giao diện song song 8bit/16bit Các cổng song song là một dạng giao diện vào ra đơn giản và phổ biến nhất để kết nối thông tin với ngoại vi. Có nhiều loại cấu trúc giao diện vật lý điện tử từ dạng cổng vào ra đơn giản cực Collector TTL hở trong các ứng dụng cổng máy in đến các loại cấu trúc giao diện cổng tốc độ cao như các chuẩn bus IEEE‐488 hay SCSI. Hầu hết các chip điều khiển nhúng có một vài cổng vào ra song song khả trình (có thể cấu hình). Các giao diện đó phù hợp với các cổng vào ra đơn giản như các khoá chuyển. Chúng cũng phù hợp trong các bài toán phục vụ giao diện kết nối điều khiển và giám sát theo các giao diện như kiểu rơle bán dẫn. Hình 2‐31: Mode hoạt động truyền thông đồng bộ Hình 2‐29: Cấu trúc nguyên lý điển hình của một cổng vào/ra logic Hình 2‐32: Mode hoạt động truyền thông dị bộ 33 34
  18. I2C (Inter‐IC) có một VĐK giữ vai trò là Chủ (Master) và các VĐK còn lại có thể hoặc là Chủ hoặc là Giao thức ưu tiên truyền thông nối tiếp được phát triển bởi Philips Semiconductor và Tớ. SPI có 4 tốc độ có thể lập trình, cực và pha nhịp đồng hồ khả trình và kết thúc ngắt được gọi là bus I2C. Vì nguồn gốc nó được thiết kế là để điều khiển liên thông IC (Inter truyền thông. Nhịp đồng hồ không nằm trong dòng dữ liệu và phải được cung cấp như IC) nên nó được đặt tên là I2C. Tất cả các chíp có tích hợp và tương thích với I2C đều có một tín hiệu tách độc lập. Có ba thanh ghi SPSR, SPCR và SPDR cho phép thực hiện các thêm một giao diện tích hợp trên Chip để truyền thông trực tiếp với các thiết bị tương chức năng điều khiển, trạng thái và lữu trữ. Có bốn chân cơ bản cần thiết để thực thi thích I2C khác. Việc truyền dữ liệu nối tiếp theo hai hướng 8 bit được thực thi theo 3 chế chuẩn giao diện truyền thông này. độ sau: ƒ Chuẩn (Standard)—100 Kbits/sec ƒ Dữ liệu ra MOSI (Master Output – Slave Input) ƒ Nhanh (Fast)—400 Kbits/sec ƒ Dữ liệu vào MISO (Master Input – Slave Output) ƒ Tốc độ cao (High‐Speed)—3.4 Mbits/sec ƒ Nhịp xung chuẩn SCLK (Serial Clock) ƒ Lựa chọn thành phần tớ SS (Slave Select) Đường bus thực hiện truyền thông nối tiếp I2C gồm hai đường là đường truyền dữ liệu nối tiếp SDA và đường truyền nhịp xung đồng hồ nối tiếp SCL. Vì cơ chế hoạt động là đồng bộ nên nó cần có một nhịp xung tín hiệu đồng bộ. Các thiết bị hỗ trợ I2C đều có một địa chỉ định nghĩa trước, trong đó một số bit địa chỉ là thấp có thể cấu hình. Đơn vị hoặc thiết bị khởi tạo quá trình truyền thông là đơn vị Chủ và cũng là đơn vị tạo xung nhịp đồng bộ, điều khiển cho phép kết thúc quá trình truyền. Nếu đơn vị Chủ muốn Hình 2‐33: Kết nối nguyên lý truyền thông SPI giữa một Master và một Tớ truyền thông với đơn vị khác nó sẽ gửi kèm thông tin địa chỉ của đơn vị mà nó muốn truyền trong dữ liệu truyền. Đơn vị Tớ đều được gán và đánh địa chỉ thông qua đó đơn Hình 2‐33 chỉ ra nguyên lý kết nối giữa một đơn vị Chủ và một đơn vị Tớ trong truyền vị Chủ có thể thiết lập truyền thông và trao đổi dữ liệu. Bus dữ liệu được thiết kế để cho thông SPI. Trong đó tín hiệu SCLK sẽ được tạo ra bởi đơn vị Chủ và là tín hiệu vào của phép thực hiện nhiều đơn vị Chủ và Tớ ở trên cùng Bus. đơn vị Tớ. MOSI là đường truyền dữ liệu ra từ đơn vị Chủ tới đơn vị Tớ và MISO là đường truyền dữ liệu vào đơn vị Chủ đến từ đơn vị Tớ. Đơn vị Tớ được lựa chọn khi Quá trình truyền thông I2C được bắt đầu bằng tín hiệu start tạo ra bởi đơn vị Chủ. Sau đơn vị Chủ kích hoạt tín hiệu SS . đó đơn vị Chủ sẽ truyền đi dữ liệu 7 bit chứa địa chỉ của đơn vị Tớ mà nó muốn truyền thông, theo thứ tự là các bit có trọng số lớn nhất MSB sẽ được truyền trước. Bit thứ tám tiếp theo sẽ chứa thông tin để xác định đơn vị Tớ sẽ thực hiện vai trò nhận (0) hay gửi (1) dữ liệu. Tiếp theo sẽ là một bit ACK xác nhận bởi đơn vị nhận đã nhận được 1 byte trước đó hay không. Đơn vị truyền (gửi) sẽ truyền đi 1 byte dữ liệu bắt đầu bởi MSB. Tại điểm cuối của byte truyền, đơn vị nhận sẽ tạo ra một bit xác nhận ACK mới. Khuôn mẫu 9 bit này (gồm 8 bit dữ liệu và 1 bit xác nhận) sẽ được lặp lại nếu cần truyền tiếp byte nữa. Khi đơn vị Chủ đã trao đổi xong dữ liệu cần nó sẽ quan sát bit xác nhận ACK cuối cùng rồi sau đó sẽ tạo ra một tín hiệu dừng STOP để kết thúc quá trình truyền thông. I2C là một giao diện truyền thông đặc biệt thích hợp cho các ứng dụng truyền thông giữa các đơn vị trên cùng một bo mạch với khoảng cách ngắn và tốc độ thấp. Ví dụ như truyền thông giữa CPU với các khối chức năng trên cùng một bo mạch như EEPROM, Hình 2‐34: Sơ đồ kết nối truyền thống SPI của một đơn vị Chủ với nhiều đơn vị Tớ cảm biến, đồng hồ tạo thời gian thực Hầu hết các thiết bị hỗ trợ I2C hoạt động ở tốc độ 400Kbps, một số cho phép hoạt động ở tốc độ cao vài Mbps. I2C khá đơn giản để thực Nếu hệ thống có nhiều đơn vị tớ đơn vị Chủ sẽ tạo phải ra các tín hiệu tách biệt để chọn thi kết nối nhiều đơn vị vì nó hỗ trợ cơ chế xác định địa chỉ. đơn vị Tớ. Cơ chế đó được thực hiện nhờ sơ đồ kết nối nguyên lý mô tả như trong Hình 2‐34. Đơn vị Chủ sẽ tạo ra tín hiệu chọn đơn vị Tớ nhờ các chân tín hiệu logic đa chức SPI năng. Các tín hiệu này phải được điều khiển và đảm bảo ổn định về thời gian để tránh SPI là một giao diện cổng nối tiếp đồng bộ ba dây cho phép kết nối truyền thông nhiều trường hợp tín hiệu bị thay đổi trong quá trình đang truyền dữ liệu. Một điều dễ nhận VĐK được phát triển bởi Motorola. Trong cấu hình mạng kết nối truyền thống này phải 35 36
  19. ra rằng SPI không hỗ trợ cơ chế xác nhận trong quá trình thực hiện truyền thông. Điều hợp thêm các ngoại vi. Các ngoại vi thường là các khối chức năng ngoại vi thông dụng này phụ thuộc vào giao thức định nghĩa hoặc phải thực hiện bổ sung thêm một số các như bộ định thời gian, bộ đếm, bộ chuyển đổi A/D, giao diện song song, nối tiếp Mức mở rộng phụ bên ngoài. độ tích hợp ngoại vi cũng khác nhau tuỳ thuộc vào mục đích ứng dụng sẽ có thể tìm được Chip phù hợp. Thực tế với các ứng dụng yêu cầu độ tích hợp cao thì sẽ sử dụng Khả năng truyền thông đồng thời hai chiều với tốc độ lên đến khoảng vài Mbit/s và giải pháp tích hợp trên chip, nếu không thì hầu hết các Chip đều cung cấp giải pháp để nguyên lý khá đơn giản nên SPI hoàn toàn phù hợp để thực hiện truyền thông giữa các mở rộng ngoại vi đáp ứng cho một số lượng ứng dụng rộng và mềm dẻo. thiết bị yêu cầu truyền thông tốc độ chậm, đặc biệt hiệu quả trong các ứng dụng một đơn vị Chủ và một đơn vị Tớ. Tuy nhiên trong các ứng dụng với nhiều đơn vị Tớ việc thực thi lại khá phức tạp vì thiếu cơ chế xác định địa chỉ, và sự phức tạp sẽ tăng lên khi số đơn vị Tớ tăng. Khối giải mã địa chỉ CPU và điều khiển Logic Bộ nhớ Ngoại vi 2.2 Một số nền phần cứng nhúng thông dụng (µP/DSP/PLA) Trong phần này giới thiệu ngắn gọn cấu trúc nguyên lý của các chip xử lý nhúng ứng Bus Địa chỉ dụng trong các nền phần cứng nhúng hiện nay. Bus Dữ liệu Sự phát triển nhanh chóng các chủng loại Chip khả trình với mật độ tích hợp cao đã và đang có một tác động đáng kể đến sự thay đổi trong việc thiết kế các nền phần cứng Bus Điều khiển thiết bị xử lý và điều khiển số trong thập kỷ gần đây. Mỗi chủng loại đều có những đặc điểm và phạm vi đối tượng ứng dụng và luôn không ngừng phát triển để đáp ứng một Hình 2‐35: Kiến trúc nguyên lý của VĐK với cấu trúc Havard cách tốt nhất cho các yêu cầu công nghệ. Chúng đang hướng tới tập trung cho một thị Ví dụ về kiến trúc của họ VĐK AVR trường công nghệ tiềm năng rộng lớn đó là các thiết bị xử lý và điều khiển nhúng. Trong bài viết này tác giả giới thiệu ngắn gọn về các chủng loại chip xử lý, điều khiển nhúng điển hình đang tồn tại và phát triển về một số đặc điểm và hướng phạm vi ứng dụng của chúng. Có thể kể ra hàng loạt các Chíp khả trình có thể sử dụng cho các bài toán thiết kế hệ nhúng như các họ vi xử lý/vi điều khiển nhúng (Microprocessor/ Microcontroller), Chip DSP (Digital Signal Processing), các Chip khả trình trường (FPD – Field Programmable Device). Chúng ta dễ bị choáng ngợp nếu bắt đầu công việc thiết kế bằng việc tìm kiếm một Chip xử lý điều khiển phù hợp cho ứng dụng. Vì vậy cần phải có một hiểu biết và sự phân biệt về đặc điểm và ứng dụng của chúng khi lựa chọn và thiết kế. Các thông tin liên quan như nhà sản xuất cung cấp Chip, các kiến thức và công cụ phát triển kèm theo Một số chủng loại Chip điển hình sẽ được giới thiệu. 2.2.1 Chip Vi xử lý / Vi điều khiển nhúng Đây là một chủng loại rất điển hình và đang được sử dụng rất phổ biến hiện này. Chúng được ra đời và sử dụng theo sự phát triển của các Chip xử lý ứng dụng cho máy tính. Vì đối tượng ứng dụng là các thiết bị nhúng nên cấu trúc cũng được thay đổi theo để đáp ứng các ứng dụng. Hiện nay chúng ta có thể thấy các họ vi xử lý điều khiển của rất nhiều các nhà chế tạo cung cấp như, Intel, Atmel, Motorola, Infineon. Về cấu trúc, chúng cũng tương tự như các Chíp xử lý phát triển cho PC nhưng ở mức độ đơn giản hơn nhiều về công năng và tài nguyên. Phổ biến vẫn là các Chip có độ rộng bus dữ liệu là 8‐bit, 16‐bit, 32‐bit. Về bản chất cấu trúc, Chip vi điều khiển là chip vi xử lý được tích Hình 2‐36: Kiến trúc của họ VĐK AVR 37 38
  20. số nguyên 16‐bit hoặc các số thực trong một miền giá trị cố định. Tuy nhiên các giá trị và hệ số trung gian có thể được lưu trữ với độ chính xác là 32‐bit trong thanh ghi tích luỹ 40‐bit nhằm giảm thiểu lỗi tính toán do phép làm tròn trong quá trính tính toán. Thông thường các loại DSP dấu phảy tĩnh có giá thành rẻ hơn các loại DSP dấu phảy động vì yêu cầu số lượng chân On‐chip ít hơn và cần sử dụng lượng silicon ít hơn. Ưu điểm nổi bật của các DSP dấu phảy động là có thể xử lý và biểu diễn số trong dải phạm vi giá trị rộng và động. Do đó vấn đề về chuyển đổi và hạn chế về phạm vi biểu diễn số không phải quan tâm như đối với loại DSP dấu phảy tĩnh. Một loại DSP 32‐bit dấu phảy tĩnh điển hình là TMS320C67x có thể xử lý và biểu diễn số gồm 24‐bit mantissa và 8‐bit exponent. Phần mantissa biểu diễn phần số lẻ trong phạm vi ‐1.0 – +1.0 và phần exponent biểu diễn vị trí của dấu phảy nhị phân và có thể dịch chuyển sang trái hoặc phải tuỳ theo giá trị số mà nó biểu diễn. Điều này trái ngược với các thiết kế trên nền DSP dấu phảy tĩnh, người phát triển chương trình phải tự qui ước, tính toán và phân chia ấn định thang biểu diễn số và phải luôn lưu tâm tới khả năng tràn số có thể xảy ra trong quá trình xử lý tính toán. Chính điều này đã gây ra khó khăn không nhỏ đối với người lập trình. Nói chung phát triển chương trình cho DSP dấu phảy động thường đơn giản hơn nhưng giá thành lại cao hơn nhiều và năng lượng tiêu thụ thông thường cũng lớn hơn. Ví dụ độ chính xác của DSP dấu phảy động 32 bit là 2−23 với 24 bit biểu diễn phần mantissa. Vùng động là 1.18 ×10−38 ≤ x ≤ 3.4 × 1038. Những nhà thiết kế hệ thống phải quyết định vùng và độ chính xác cần thiết cho các ứng dụng. Các vi xử lý dấu phảy động thường được sử dụng cho các ứng dụng yêu cầu về độ chính xác cao và dải biểu diễn số lớn phù hợp với hệ thống có cấu trúc bộ nhớ lớn Hơn nữa các DSP dấu phảy động cho phép phát triển phần mềm hiệu quả và đơn giản Hình 2‐37: Sở đồ khối chức năng kiến trúc PIC16F873A hơn bằng các trình biên dịch ngôn ngữ bậc cao như C do đó có thể giảm được giá thành và thời gian phát triển. Tuy nhiên giá thành lại cao nên các DSP dấu phảy động phù 2.2.2 Chip DSP hợp với các ứng dụng khá đặc biệt và thường là với số lượng ít. [Ref. Sen Kuo] DSP vẫn được biết tới như một loại vi điều khiển đặc biệt với khả năng xử lý nhanh để phục vụ các bài toán yêu cầu khối lượng và tốc độ xử lý tính toán lớn. Với ưu điểm nổi bật về độ rộng băng thông của bus và thanh ghi tích luỹ, cho phép ALU xử lý song song với tốc độ đọc và xử lý lệnh nhanh hơn các loại vi điều khiển thông thường. Chip DSP cho phép thực hiện nhiều lệnh trong một nhịp nhờ vào kiến trúc bộ nhớ Havard. Thông thường khi phải sử dụng DSP tức là để đáp ứng các bài toán tính toán lớn và tốc độ cao vì vậy định dạng biểu diễn toán học sẽ là một yếu tố quan trọng để phân loại và được quan tâm. Hiện nay chủ yếu chúng vẫn được phân loại theo hai kiểu là dấu phảy động và dấu phảy tĩnh. Đây cũng chính là một yếu tố quan trọng phải quan tâm đối với người thiết kế để lựa chọn được một DSP phù hợp với ứng dụng của mình. Các loại DSP dấu phảy tĩnh thường là loại 16‐bit hoặc 24‐bit còn các loại dấu phảy tĩnh thường là 32‐bit. Một ví dụ điển hình về một DSP 16‐bit dấu phảy tĩnh là TMS320C55x, lưu các 39 40
  21. Hình 2‐39: Cấu trúc PROM và PLA Lịch sử phát triển của các chủng loại Chip khả trình mảng PLA (Programmable Logic Array) được bắt nguồn từ nguyên lý bộ nhớ chương trình PROM (Programmable Read‐ Only Memory). Trong đó các đầu vào địa chỉ đóng vai trò như các đường vào của mạch logic và các đường dữ liệu ra đóng vai trò như các đường ra của mạch logic. Vì PROM không thực sự phù hợp cho mục đích thiết kế các mạch logic nên PLA đã ra đời vào đầu thập kỷ 70. Nó rất phù hợp để thực hiện mạch logic có dạng tổng các tích (vì cấu thành bởi các phần tử logic AND và OR). Nhưng nhược điểm là chi phí sản xuất cao và tốc độ hoạt động thấp. Để khắc phục nhược điểm này PAL (Programmable Array Logic) đã được phát triển. Nó được cấu thành từ các phần tử AND khả trình và phần tử OR gán cố định và có chứa cả phần tử flip‐flop ở đầu ra nên có khả năng thực thi các mạch logic tuần tự. Hình 2‐40 mô tả cấu trúc chung của PAL. Hình 2‐38: Giản đồ khối chức năng của DSP TMS320C28xx 2.2.3 PAL Ngày nay khi nói đến các chủng loại Chip khả trình mảng ta thường biết tới một số tên gọi như PAL, CPLD, FPGA Một chút lược sử về sự ra đời và phát triển sau đây sẽ giúp chúng ta hình dung được đặc điểm và nguồn gốc ra đời của chúng. Hình 2‐40: Cấu trúc chung của PAL Từ khi được ra đời và phát triển PAL trở thành cơ sở cho sự ra đời của hàng loạt các chủng loại Chip khả trình mảng với cấu trúc phức tạp hơn như SPLD (Simple Program‐ mable Logic Device), CPLD (Com‐plex Programmable Logic Device), và sau này là FPGA (Field Pro‐grammable Gate Array). SPLD cũng là tên gọi cho nhóm các chủng loại Chip 41 42
  22. kiểu tương tự như PAL, PLA. Về mặt cấu trúc thì SPLD cho phép tích hợp logic với mật độ cao hơn so với PAL thông thường, nhưng kích thước của nó sẽ tăng lên rất nhanh nếu tiếp túc mở rộng và tăng mật độ tích hợp số đầu vào. Để đáp ứng nhu cầu mở rộng mật độ tích hợp CPLD đã được phát triển. Nó là sự tích hợp của nhiều khối SPLD và cung cấp thêm khả năng kết nối khả trình giữa các khối SPLD đơn lẻ với nhau. Với nguyên lý cấu trúc này CPLD có khả năng tích hợp với mật độ cao tương đương với 50 khối SPLD thông thường. Nếu chỉ dừng đến đây chúng ta có thể thấy một đặc điểm chung của các chủng loại chip kiểu PLA hay CPLD đều cho phép thực hiện các mạch logic trên cơ sở tổ hợp logic của các đầu vào và ra bằng các phần tử AND và OR. Với nguyên lý này rõ ràng sẽ gặp Hình 2‐42: Cấu trúc CLB và LAB khó khăn khi thực thi các ứng dụng đòi hỏi các phép tính toán logic phức tạp với tốc độ cao. Để đáp ứng điều này FPGA (Field Programmable Gate Arrays) đã ra đời. Nó là sự cấu Ngày nay có thể phân loại ra một số kiểu chủng loại FPGA dựa vào cấu tạo của chúng: thành của các khối logic khả trình cùng với các kênh kết nối liên thông khả trình giữa các khối đó với nhau. Một hình ảnh tiêu biểu về cấu trúc nguyên lý của FPGA được mô ■ Cấu tạo từ SRAM: tả như trong Hình 2‐41: Cấu trúc nguyên lý của FPGA. Với loại này các mắt kết nối khả trình được thực hiện bằng các phần tử SRAM, chính vì vậy cho phép thực hiện lập trình lặp lại nhiều lần. Ưu điểm nổi bật của loại này là các ý tưởng thiết kế mới có thể được thực thi và thử nghiệm nhanh chóng. Hơn nữa SRAM cũng đang là một hướng phát triển rất mạnh hiện nay trong nền công nghiệp sản xuất bộ nhớ và cũng đều thực thi theo công nghệ CMOS rất phù hợp với công nghệ chế tạo FPGA. Tuy nhiên một đặc điểm có thể xem như là nhược điểm của FPGA cấu tạo từ các phần tử SRAM là chúng phải cấu hình lại mỗi khi nguồn hệ thống được cung cấp. Công việc này thường được thực hiện bởi một bộ nhớ ngoài chuyên dụng hoặc bởi một bộ vi điều khiển kèm theo mạch. Chính vì vậy cũng làm giá thành của FPGA tăng thêm. Hình 2‐41: Cấu trúc nguyên lý của FPGA ■ Cấu tạo từ cầu chì (anti‐fused) FPGA ‐ đang trở thành một sự lựa chọn thay thế rất cạnh tranh của các chip xử lý Không giống như loại FPGA cấu tạo từ SRAM, FPGA với cấu tử kiểu cầu chì được lập nhúng ASICs. Nó hỗ trợ các ưu điểm về chức năng lựa chọn giống như ASICs nhưng trình offline bằng một thiết bị lập trình chuyên dụng. Ý tưởng chế tạo loại FPGA này cho phép chỉnh sửa và thiết kế lại sau khi sử dụng và giá thành phát triển thấp hơn. xuất phát từ nhu cầu về một thiết bị khả trình có khả năng lưu cấu hình sau khi được sử FPGA cho phép khả năng thiết kế linh hoạt và thích nghi dễ dàng cho các tiện ích thiết dụng. Tức là nó không phải làm công việc cấu hình mỗi khi nguồn hệ thống được cung bị tối ưu, trong khi vẫn duy trì được không gian kích thước phần cứng và năng lượng cấp. Khi FPGA anti‐fused đã được lập trình thì nó không thể bị thay đổi hay được lập tiêu thụ của hệ thống. Điều này không dễ dàng nhận được khi thiết kế dựa trên nền các trình lại nữa. Chính nhờ điều này nên nó không cần bất kỳ một bộ nhớ ngoài nào để Chip DSP. lưu trữ cấu hình và có thể tiết kiệm, giảm giá thành của thiết bị. FPGA thực sự phù hợp cho các ứng dụng đòi hỏi lượng tính toán lớn như trong xử lý Một ưu điểm nổi bật của FPGA anti‐fused là kiểu cấu trúc liên kết khá bền vững với các tín hiệu. FPGA có thể được lập trình hoạt động đồng thời với một số các đường dữ liệu loại nhiễu bức xạ. Đặc điểm này khá quan trọng khi thiết bị phải làm việc trong môi song song. Chúng là các đường dữ liệu hoạt động của tổ hợp nhiều các chức năng từ trường tiềm năng như quân sự hoặc hàng không vũ trụ. Vì vậy nó tránh được trường đơn giản đến phức tạp như bộ cộng, bộ nhân, bộ đếm, bộ lưu trữ, bộ so sánh, bộ tính hợp rủi ro có thể xảy ra nếu sử dụng công nghệ SRAM là hiện tượng lật trạng thái tương quan, (flipped). Tuy nhiên hiện tượng này cũng có thể được khắc phục bằng cơ chế dự phòng chập 3 nhưng lại làm tăng thêm chi phí chế tạo. 43 44
  23. Một ưu điểm nổi bật của loại FPGA anti‐fused là khả năng bảo vệ công nghệ. Tức là dữ liệu cấu hình lập trình cho FPGA có thể được bảo vệ bởi việc đọc bất hợp pháp hoặc Người ta cũng thường phân loại FPGA dựa vào phần tử kiến trúc của chúng và bao không cho phép đọc. Trong qúa trình xử lý hoặc phát triển, người lập trình sẽ sử dụng gồm 3 loại chính: mịn, thô và trung bình. Bản chất việc phân loại này là dựa vào kiểu một tệp dữ liệu cấu hình để lập trình và kiểm tra quá trình nạp cấu hình cho FPGA. khối logic khả trình cấu thành nên FPGA. Với loại FPGA mịn thì kiến trúc các khối logic Công việc này chỉ thực hiện một lần và sẽ không thể thay đổi được nữa. Khi thực hiện khả trình thường là các cổng logic đơn giản (kiểu AND, OR , và các phần tử lưu giữ xong nó có thể được thiết lập thêm một thuộc tính là chống đọc trực tiếp từ FPGA dữ như Triger D ). Kiểu kiến trúc này phù hợp và thường sử dụng hiệu quả với kiến trúc liệu liên quan đến cấu hình. Ngoài ra chúng ta cũng có thể biết thêm rằng FPGA anti‐ ASICó. Gần đây xu thế phát triển của FPGA đang tập trung vào loại kiến trúc thô. Tức fused thường sử dụng ít năng lượng hơn loại FPGA SRAM, kích thước cũng nhỏ hơn, và là các khối logic khả trình là các khối có khả năng xử lý logic lớn với nhiều tổ hợp liên tốc độ cũng nhanh hơn một chút nhờ khoảng cách kết nối cứng giữa các phần tử ngắn kết và phức tạp với nhiều đầu vào và ra liên kết. Tuỳ theo mức độ của khối logic khả hơn. trình đó mà người ta phân ra thành các loại trung bình. Tuy nhiên nhược điểm lớn nhất của FPGA anti‐fused là chỉ có thể được lập trình và cấu Có hai loại cấu trúc cơ bản cấu thành nên các khối logic khả trình trong kiến trúc FPGA hình một lần. Vì vậy nó chỉ thực sự phù hợp khi thực thi hoàn chỉnh sản phẩm cuối thô hoặc trung bình là MUX (Multiplexer) và LUT (Lookup Table). Trong loại cấu trúc cùng và không phù hợp với mục đích thiết kế phát triển. MUX thì các phần tử logic được cấu thành theo cấu trúc tổ hợp các đầu vào ra theo nguyên lý MUX như mô tả trong Hình 2‐43: Khối logic dạng MUX. ■ Cấu tạo từ EEPROM/FLASH EEPROM or FLASH‐based FPGAs cũng có nguyên lý cấu tạo tương tự như loại FPGA‐ SRAM. Các phần tử cấu hình của nó được kết nối dựa trên một chuỗi thanh ghi dịch dài. Chúng có thể được cấu hình offline bằng các thiết bị lập trình chuyên dụng. Cũng có một số có thể lập trình online nhưng thời gian lập trình cấu hình sẽ gấp khoảng 3 lần thời gian thực thi với nền FPGA‐SRAM. Khi đã được cấu hình đã được lập trình thì chúng có thể được duy trì và không bị mất đi như nguyên lý lưu giữ của EEPROM hoặc FLASH. Loại FPGA‐EEPROM/FLASH có cấu tạo nhỏ hơn so với loại FPGA‐SRAM vì vậy cũng có thể giảm được thời gian lan truyền tín hiệu kết nối liên thông giữa các phần tử logic. Để bảo vệ công nghệ khi FPGA đã được cấu hình và đưa ra sử dụng, ta có thể bảo vệ bằng cơ chế khóa mã mềm (cấu tạo từ khoảng 50 bit đến vài trăm bit). Muốn đọc được thông tin cấu hình trực tiếp từ FPGA, người ta cần phải có mã khóa đó và cũng rất khó hoặc không thể mò được theo nguyên lý thử sai. Vì muốn vậy theo ước tính cũng phải mất đến hàng triệu năm mới hy vọng thành công để mò ra được. Hình 2‐43: Khối logic dạng MUX Đối với loại cấu trúc LUT thì các đầu vào thực chất là các tổ hợp để chọn ra giá trị trong Tuy nhiên công nghệ chế tạo FPGA‐EEPROM/FLASH đòi hòi thực thi qua nhiều công bảng chất lý của hàm chức năng cần thực thi. Nguyên lý của loại khối logic này được đoạn xử lý hơn so với loại FPGA‐SRAM vì vậy mà sự phát triển của chúng cũng chậm mô tả như trong Hình 2‐44. hơn. Hơn nữa năng lượng tiêu thụ của chúng cũng lớn hơn vì phải nuôi rất nhiều các phần tử điện trở kéo (pull‐up resistor). ■ Cấu tạo từ tổ hợp FLASH‐SRAM Ngày nay người ta cũng phát triển chế tạo các loại FPGA cấu tạo từ các tổ hợp SRAM và FLASH để tận dụng được các ưu điểm của cả hai chủng loại này. Thông thường các phần tử cấu hình FLASH sẽ được sử dụng để lưu các nội dung cấu hình để sao chép cho các phần tử cấu hình SRAM. Và các phần tử cấu hình SRAM hoàn toàn có thể được cấu hình lại theo yêu cầu thiết kế trong khi vẫn duy trì một phần thiết kế cấu hình gốc Hình 2‐44: LUT thực hiện hàm tổ hợp AND và OR lưu trong các phần tử FLASH. 45 46
  24. Hầu hết các ứng dụng đều có nhu cầu về bộ nhớ RAM on Chip vì vậy một số dòng 3 CƠ SỞ KỸ THUẬT PHẦN MỀM NHÚNG FPGA hiện nay cũng tích hợp thêm cả các phần tử nhớ RAM và được gọi là RAM nhúng (embedded RAM). Các phần tử RAM đó được tổ chức thành từng khối và tuỳ 3.1 Đặc điểm phần mềm nhúng thuộc vào kiến trúc của FPGA nó sẽ được phân bố linh hoạt, thường là xung quanh các phần tử ngoại vi hoặc phân bố đều trên bề mặt Chip. Một hình ảnh minh hoạ về phân 9 Hướng chức năng hoá đặc thù bố RAM trong kiến trúc FPGA được mô tả như trong Hình 2‐45. 9 Hạn chế về tài nguyên bộ nhớ 9 Yêu cầu thời gian thực 3.2 Biểu diễn số và dữ liệu ƒ Đơn vị cơ bản nhất trong biểu diễn thông tin của hệ thống số được gọi là bit, chính là ký hiệu viết tắt của thuật ngữ binary digit. ƒ 1964, IBM đã thiết kế và chế tạo máy tính số sử dụng một nhóm 8 bit để đánh địa chỉ bộ nhớ và định nghĩa ra thuật ngữ 8 bit = 1 byte. ƒ Ngày nay sử dụng rộng rãi thuật ngữ word là một từ dữ liệu dùng để biểu diễn kích thước dữ liệu mà được xử lý một cách hiệu quả nhất đối với mỗi loại kiến trúc xử lý số cụ thể. Chính vì vậy một từ có thể là 16 bits, 32 bits, hoặc 64 bits ƒ Mỗi một byte có thể được chia ra thành hai nửa 4 bit và được gọi là các nibble. Nibble chứa các bít trọng số lớn được gọi là nibble bậc cao, và nibble chứa các bit trọng số nhỏ được gọi là nibble bậc thấp. Hình 2‐45: Hình ảnh của Chip có các cột là các khối RAM nhúng 3.2.1 Các hệ thống cơ số ■ FPGA với hạt nhân DSP Trong các hệ thống biểu diễn số hiện nay đều được biểu diễn ở dạng tổng quát là tổng Thực chất đó là một tổ hợp nhằm tăng tốc và khả năng tính toán. Khái niệm này cũng luỹ thừa theo cơ số, và được phân loại theo giá trị cơ số. Một cách tổng quát một hệ biểu tương tự như các bộ đồng xử lý toán học trong kiến trúc máy tính. Nguyên lý là nhằm diễn số cơ số b và a là một số nguyên nằm trong khoảng giá trị cơ số b được biểu diễn san sẻ và giảm bớt tải sang FPGA để thực thi các chức năng tính toán lớn (thông thường như sau: n đòi hỏi thực hiện trong nhiều nhịp hoạt động của Chip DSP) và cho phép Chip DSP tập nn−1 i Aabab=+nn−10 +⋅⋅⋅+=⋅ a∑ ab i (1.1) trung thực hiện các chức năng đơn nhịp tối ưu. Tổ hợp FPGA và DSP là một kiến trúc i=0 rất linh hoạt và đặc biệt cải thiện được hiệu suất thực hiện và tăng tốc hơn rất nhiều so Ví dụ như cơ số binary (nhị phân), cơ số decimal (thập phân), cơ số hexadecimal, cơ số 8 với kiến trúc nhiều Chip DPS hoặc ASICs đồng thời giá thành lại thấp hơn. Octal (bát phân). Ví dụ về biểu diễn các giá trị trong các hệ cơ số khác nhau: 243.5110 = 2 x 102 + 4 x 101 + 3 x 100 + 5 x 10‐1 + 1 x 10‐2 2123 = 2 x 32 + 1 x 31 + 2 x 30 = 2310 101102 = 1 x 24 + 0 x 23 + 1 x 22 + 1 x 21 + 0 x 20 = 2210 Hai loại cơ số biểu diễn thông dụng nhất hiện nay cho các hệ thống xử lý số là cơ số nhị phân và cơ số mười sáu. 3.2.2 Số nguyên Trong biểu diễn số có dấu để phân biệt số dương và số âm người ta sử dụng bit trọng số lớn nhất qui ước làm bit dấu và các bit còn lại được sử dụng để biểu diễn giá trị độ lớn của số. Ví dụ một từ 8 bit được sử dụng để biểu diễn giá trị ‐1 sẽ có dạng nhị phân là Hình 2‐46: Sơ đồ nguyên lý mạch ghép nối VĐK và FPGA 10000001, và giá trị +1 sẽ có dạng 00000001. Như vậy với một từ 8 bit có thể biểu diễn 47 48
  25. được các số trong phạm vi từ ‐127 đến +127. Một cách tổng quát một từ N bit sẽ biểu Hiện nay người ta sử dụng hai qui ước biểu diễn số nguyên phân biệt theo thứ tự của diễn được ‐2(N‐1)‐1 đến +2(N‐1)‐1. byte trọng số trong một từ được biểu diễn: • Litte edian: byte trọng số nhỏ nhất đứng trước Æ thuận lợi cho phép cộng hoặc Chú ý khi thực hiện cộng hai số có dấu: trừ và 9 Nếu hai số cùng dấu thì thực hiện phép cộng phần biểu diễn giá trị và sử dụng bit • Big endian: byte trọng số lớn nhất đứng trước Æ thuận lợi cho phép nhân hoặc dấu cùng dấu với hai số đó. chia. 9 Nếu hai số khác dấu thì kết quả sẽ nhận dấu của toán tử lớn hơn, và thực hiện Ví dụ xét một số nhị phân 4‐byte phép trừ giữa toán tử có giá trị lớn hơn với toán tử bé hơn. Ví dụ 1: Cộng hai số có dấu 010011112 và 001000112. Theo qui ước biểu diễn litte edian thì thứ tự địa chỉ lưu trong bộ nhớ sẽ là: 1 1 1 1 ⇐ carries Địa chỉ cở sở + 0 = Byte 0 0 1 0 0 1 1 1 1 (79) Địa chỉ cơ sở + 1 = Byte 1 0 + 0 1 0 0 0 1 1 + (35) Địa chỉ cơ sở + 2 = Byte 2 0 1 1 1 0 0 1 0 (114) Địa chỉ cơ sở + 3 = Byte 3 Và theo qui ước biểu diễn số big edian sẽ là: Ví dụ 2: Cộng hai số có dấu 010011112 và 011000112. Địa chỉ cở sở + 0 = Byte 3 Nhớ cuối cùng 1 ← 1 1 1 1 carries ⇐ Địa chỉ cơ sở + 1 = Byte 2 Tràn 0 1 0 0 1 1 1 1 (79) Địa chỉ cơ sở + 2 = Byte 1 bỏ nhớ 0 + 1 1 0 0 0 1 1 + (99) Địa chỉ cơ sở + 3 = Byte 0 0 0 1 1 0 0 1 0 (50) 3.2.3 Số dấu phảy tĩnh Ví dụ 3: Trừ hai số có dấu 010011112 và 011000112. Chúng ta có thể sử dụng một ký hiệu dấu chấm ảo để biểu diễn một số thực. Dấu chấm 0 1 1 2 ⇐ borrows ảo được sử dụng trong từ dữ liệu dùng để phân biệt và ngăn cách giữa phần biểu diễn 0 1 1 0 0 0 1 1 (99) giá trị nguyên của dữ liệu và một phần lẻ thập phân. Ví dụ về một từ 8‐bit biểu diễn số 0 ‐ 1 0 0 1 1 1 1 ‐ (79) dấu phảy động được chỉ ra như trong Hình 3‐1. Với cách biểu diễn này, giá trị thực của 0 0 0 1 0 1 0 0 (20) số được tính như sau: 43210123−−− Na=+++++4321022222 a a a a a−− 1 2 + a 2 2 + a − 3 2 Ví dụ 4: Cộng hai số khác dấu 100100112 (‐19) và 000011012 (+13) =02 ⋅43210123 +⋅ 12 + 02 ⋅ +⋅ 12 +⋅ 12 +⋅ 12−−− + 02 ⋅ +⋅ 12 0 1 2 ⇐ borrows =+++8211/21/8 + 1 0 0 1 0 0 1 1 (‐19) =11.625 0 ‐ 0 0 0 1 1 0 1 + (13) 1 0 0 0 0 1 1 0 (‐6) Thuật toán thực hiện phép tính có dấu: (1) Khai báo và xóa các biến lưu giá trị và dấu để chuẩn bị thực hiện phép tính. (2) Kiểm tra dấu của toán tử thứ nhất để xem có phải số âm không. Nếu là số âm thì thực hiện bù dấu và bù toán tử. Nếu không thì chuyển qua thực hiện bước 3. (3) Kiểm tra dấu của toán tử thứ hai để xem có phải số âm không. Nếu là số âm thì Hình 3‐1: Định dạng biểu diễn số dấu phảy tĩnh 8 bit thực hiện bù dấu và bù toán tử. Nếu không thì chuyển sang thực hiện bước 4. Nhược điểm của phương pháp biểu diễn số dấu phảy tĩnh là vùng biểu diễn số nguyên (4) Thực hiện phép nhân hoặc chia với các toán tử vừa xử lý. bị hạn chế bởi dấu phảy tĩnh được gán cố định. Điều này dễ xảy ra hiện tượng tràn số (5) Kiểm tra dấu. Nếu zero thì coi như đã kết thúc. Nếu bằng ‐1 (0ffh) thì thực hiện khi thực hiện các phép nhân hai số lớn. phép tính bù hai với kết quả thu được và kết thúc. 49 50
  26. 3.2.4 Số dấu phảy động −−(2e−1 1) Nmin =⋅0.5 2 (1.4) Phương pháp biểu diễn số chính xác và linh hoạt được sử dụng rộng rãi hiện nay là hệ Theo tiêu chuẩn IEEE 754 và 854 có 2 định dạng chính cho số dấu phảy động là số thực thống biểu diễn số dấu phảy động. Đây cũng là một phương pháp biểu diễn số khoa dài (long) và số thực ngắn (short) chúng khác nhau về dải biểu diễn và độ lớn lưu trữ học bao gồm 2 phần: phần biểu diễn lưu trữ số mantissa và một phần lưu trữ biểu diễn yêu cầu. Theo chuẩn này, số thực dài được định dạng 8 byte bao gồm 1 bit dấu, 11 bit số exponent. Ví dụ trong hệ cơ số thập phân, một số nguyên bằng 5 có thể được biểu exponent và 53 bit lưu giá trị số có nghĩa. Một số thực ngắn được định dạng 4 byte bao diễn hoặc là 0.5⋅ 101 , 50⋅ 10−1 , hoặc 0.05⋅ 102 , Trong máy tính số hoặc hệ thống số nói gồm 1 bit dấu, 8 bit lũy thừa và 24 bit lưu giá trị số có nghĩa. Một số thực ngắn có thể chung, các số dấu phảy động nhị phân thường được biểu diễn dạng biểu diễn và xử lý được số có giá trị nằm trong dải 1038 to 10‐38 và số thực dài có thể biểu NM=⋅2E (1.2) diễn và xử lý được số có giá trị thuộc dải 10308 to 10‐308 . Để biểu diễn một giá trị tương Trong đó, M là phần giá trị số mantissa, E là phần lũy thừa của số N. M thường là các đương như vậy bằng số dấu phảy tĩnh thì cần tới 256 bit hay 32 byte dữ liệu. giá trị lẻ mà phần thập phân của nó thường nằm trong khoảng 0.5≤≤M 1. 3.2.5 Một số phép tính cơ bản Hình 3‐2 mô tả biểu diễn một số dấu phảy động của từ 8 bit gồm 5 bit biểu diễn phần số ƒ Thực hiện phép nhân có nghĩa mantissa, và 3 bit biểu diễn phần lũy thừa. Vì các phần mantissa và lũy thừa Vì trong các VĐK nhúng thường không hỗ trợ các phép nhân nhiều byte. Công việc này đều có thể nhận các giá trị âm vì vậy các bit đầu tiên của các phần giá trị đó đều có thể phải được thực hiện bởi người phát triển chương trình và thể hiện dưới dạng một thuật được sử dụng để biểu diễn dấu khi cần thiết. toán dựa trên các phép toán có sẵn áp dụng cho số nhị phân là cộng/trừ và dịch. Để có một sự hiểu biết rõ ràng hơn về thuật toán thực hiện phép nhân, chúng ta xét một ví dụ về một phép tính nhân hai số nhị phân tổng quát như sau: n 10 Aa=⋅+⋅⋅⋅+⋅+⋅n 222 a10 a n 10 Bb=⋅+⋅⋅⋅+⋅+⋅n 222 b10 b n 10 bAn ⋅⋅+⋅⋅⋅+⋅⋅+⋅⋅()2 bA10 ()2 bA ()2 Hình 3‐2: Biểu diễn dấu phảy động 8 bít Trong một số VXL, VĐK do độ rộng từ nhị phân nhỏ nên có thể sử dụng 2 từ để biểu Nguyên lý thực hiện phép nhân cũng giống như ta thực hiện phép nhân hai đa thức. diễn một số dấu phảy động. Một từ sẽ dùng để biểu diễn giá trị mantissa, và một phần Trong trường hợp nhân hai số nhị phân thì mỗi phần tử là một bit, byte hoặc từ. Ví dụ biểu diễn giá trị exponent. cụ thể với hai số nhị phân 4 bit ta thu được phép nhân thực hiện như sau: Nếu phần mantissa được chuẩn hóa thành một số lẻ có giá trị trong khoảng 0.5≤ M ≤ 1 thì bit đầu tiên sau bit dấu thường là một và sẽ có một dấu phảy nhị phân ẩn ngay sau 3210 aaaa3210⋅+⋅+⋅+⋅2222 bit dấu. 3210 bbbb⋅+⋅+⋅+⋅2222 Phần biểu diễn exponent E sẽ quyết định vị trí của dấu phảy động sẽ dịch sang trái (E>0) 3210 ab⋅⋅+22223210 ab ⋅⋅ +⋅⋅+ ab ab ⋅⋅ hay sang phải (E<0) bao nhiêu vị trí. Ví dụ biểu diễn một số thập phân 6.5 bằng một từ 30 20 10 00 4321 8 bit dấu phảy động như sau: ab31⋅⋅2222 + ab 21 ⋅⋅ + ab 11 ⋅⋅ + ab 01 ⋅⋅ 11 N =⋅.1101 2 2 5432 ab32⋅⋅+2222 ab 22 ⋅⋅ +⋅⋅+ ab 12 ab 02 ⋅⋅ ⎡⎤11 1 3 6543 =++26.5 = ab33⋅⋅2222 + ab 23 ⋅⋅ +⋅⋅ ab 13 + ab 03 ⋅⋅ ⎢⎥2416 ⎣⎦ Trong trường hợp này phần mantissa gồm 4 bit và phần exponent gồm 3 bit. Nếu ta dịch Thuật toán thực hiện phép nhân 32 bit theo trình tự sau: dấu phảy sang phải 3 vị trí bit thì chúng ta sẽ có một số nhị phân dấu phảy động biểu (1) Cấp phát vùng nhớ đủ lớn để lưu số được nhân 32 bit và có thể thực hiện phép diễn được sẽ là 110.1. dịch trái 32 lần. Đặt giá trị khởi tạo cho bộ đếm bit bằng 32 và xóa thanh ghi Tổng quát hóa trong trường hợp một số nhị phân dấu phảy động n bit gồm m bit biểu hay biến lưu giữ kết quả phép nhân. (Chú ý: Số lượng bit cần để lưu giá trị kết diễn phần mantissa và e bit biểu diễn phần exponent thì giá trị của số lớn nhất có thể biểu quả phải bằng tổng số lượng bit cần để lưu các số hạng phép nhân) diễn được sẽ là (2) Dịch số nhân sang phải một vị trí bit và kiểm tra cờ nhớ. Nếu không có cờ nhớ −+m 1(21)e−1 − Nmax =−(1 2 )2 (1.3) thì tiếp tục thực hiện bước 3. Nếu xuất hiện cờ nhớ thì cộng thêm vào biến lưu Và số dương nhỏ nhất có thể biểu diễn là kết quả hiện tại của phép nhân một giá trị bằng giá trị của số được nhân. 51 52
  27. (3) Dịch số được nhân sang trái một vị trí bit và giảm bộ đếm dịch đi một. Kiểm x nx = tra xem giá trị của bộ đếm dịch có bằng không không? Nếu bằng không thì aan thực hiện tiếp bước 4, còn không thì quay trở lại thực hiện bước 2. Đối với phép chia nhị phân thì n sẽ được chọn là một số lũy thừa của 2 và phải lớn hơn (4) Kết quả cuối cùng của phép nhân được lưu trong thanh ghi biến kết quả. a. Ví dụ phép nhân từ nhị phân 4 bit 1100 x 1101 Thuật toán thực hiện phép chia có thể được thực thi bởi phép dịch, cộng và trừ như sau: (1) Nạp biến lưu giá trị thương số bằng giá trị của số bị chia; số bước dịch cần thực 0. A 1100 (12) hiện bằng số bit lưu số bị chia. B 1101 (13) (2) Dịch trái biến lưu giá trị thương số vào phần biến lưu giá trị dư của phép chia. Counter 100 (4) (3) So sánh số dư với số chia. Nếu số dư lớn hơn hoặc bằng số chia thì thực hiện Product 0 phép trừ số dư đi một giá trị bằng giá trị số chia. Nếu không thì chuyển sang 1. A 11000 (24) thực hiện bước tiếp theo. B 0110 (6) (4) Giảm biến lưu giá trị số lần lặp và kiểm tra xem nó đã bằng không chưa. Nếu Counter 011 (3) chưa bằng không thì quay trở lại bước 2 thực hiện tiếp, còn nếu bằng không thì Product 1100 (12) giá trị của phép chia được lưu trong ô nhớ chứa số dư và thương số. 2. A 110000 (48) B 0011 (3) Thực thi thuật toán bằng ngôn ngữ C/C++ Counter 010 (2) i = 0; quotient = 0; Product 1100 (12) if (divisor == 0) goto error; 3. A 1100000 (96) while (dividend > divisor) divisor >= 1; Counter 001 (1) while (i != 0){ Product 111100 (60) quotient >=1 , i ; Thực thi thuật toán thực hiện phép nhân số nguyên không dấu bằng ngôn ngữ C/C++: } long product = 0; Trước khi thực hiện phép chia yêu cầu cần phải kiểm tra lỗi chia không có thể xảy ra. while (multipier != 0){ Thuật toán thực hiện phép chia chủ yếu dựa trên phép dịch và phép trừ. Số bị chia sẽ if (multiplier & 1){ dịch sang trái và lưu vào một biến, phần dư sẽ được so sánh với số chia. Nếu phần dư product += multiplicand; bằng hoặc lớn hơn số chia thì phần dư sẽ được trừ đi một giá trị bằng số chia và số bị } chia sẽ được cộng thêm một và dịch sang trái một vị trí bit và đó chính được gọi là multiplier >> =1; thương số. Quá trình này được lặp lại và tiếp tục cho đến khi số lần dịch bằng đúng số multiplicand <<= 1; bit của từ lưu số bị chia. } Các biến được sử dụng trong quá trình thực hiện phép chia bao gồm 5 biến số: số bị ƒ Thực hiện phép chia chia, số chia, thương số, số dư và số lần dịch. Trong quá trình thực hiện thì số bị chia, Phép chia có thể được thực hiện bằng cách chuyển đổi thành phép nhân và phép dịch. thương số, và số dư cùng chia sẻ chung một vùng ô nhớ. Số dư và số bị chia sẽ thuộc Ví dụ muốn thực hiện phép chia 5 trong hệ thập phân chúng ta có thể thực hiện bởi một cùng một từ lớn. Số bị chia nằm trong phần từ trọng số thấp và số dư sẽ nằm trong phép nhân 2 và dịch dấu phảy của kết quả thu được sang trái một đơn vị. Một cách phần từ trọng số cao. Sau khi thực hiện xong phép chia thì số bị chia sẽ được dịch toàn tổng quát có thể thực hiện chuyển đổi một phép chia tương đương như sau: bộ sang trái vào phần biến số dư và được thay thế bằng thương số. Kết quả còn lại thu 53 54
  28. được chỉ còn là số dư và thương số. Hình ảnh về bộ nhớ lưu các biến số thực hiện trong bộ nhớ cần cung cấp cho CPU. Các lệnh càng gọn và phực hợp thì sẽ cần càng ít không thuật toán này được minh hoạ như trong Hình 3‐3. gian bộ nhớ chương trình. Kiến trúc tập lệnh phức hợp sử dụng các lệnh với độ dài biến đổi tuỳ thuộc vào độ phức hợp của các lệnh từ đơn giản đến phức tạp. Trong đó sẽ có một số lượng lớn các lệnh có thể truy nhập trực tiếp bộ nhớ. Vì vậy với kiến trúc tập lệnh phức hợp chúng ta sẽ có được một tập lệnh đa dạng phức hợp, gọn, với độ dài lệnh thay đổi và dẫn đến chu kỳ thực hiện lệnh cũng thay đổi tuỳ theo độ phức hợp trong từng lệnh. Một vài lệnh phức hợp, đặc biệt là các lệnh truy nhập bộ nhớ cần tới vài chục chu kỳ để thực hiện. Trong một số trường hợp các nhà thiết kế VXL thấy rằng cần phải giảm chu kỳ nhịp lệnh để có đủ thời gian cho các lệnh hoàn thành điều này cũng dẫn đến thời gian thực hiện bị kéo dài hơn. Một số VĐK được phát triển theo kiến trúc máy tính tập lệnh rút gọn RISC (Reduced Instruction Set Computer). RISC phù hợp với các thiết kế kiến trúc xử lý các lệnh đơn. Thuật ngữ “rút gọn” (reduced) đôi khi bị hiểu không thật chính xác theo nghĩa đen của nó thực chất ý tưởng gốc xuất phát từ khả năng cung cấp một tập lệnh tối thiểu để thực hiện tất cả các hoạt động chính như: chuyển dữ liệu, các hoạt động ALU và rẽ nhánh điều khiển chương trình. Chỉ có các lệnh nạp (load), lữu trữ (store) là được phép truy nhập trực tiếp bộ nhớ. B‐ 1: So sánh đặc điểm của CISC và RISC CISC RISC Bất kỳ lệnh nào cũng có thể tham Chỉ có các lệnh Nạp (Load) chiếu tới bộ nhớ hoặc Lưu trữ (Store) là có thể tham chiếu tới bộ nhớ Tồn tại nhiều lệnh và kiểu địa chỉ Tồn tại ít lệnh và kiểu địa chỉ Khuôn dạng lệnh đa dạng Khuôn dạng lệnh cố định Chỉ có một tập thanh ghi Có nhiều tập thanh ghi Các lệnh thực hiện trong nhiều Các lệnh thực hiện trong một nhịp chu kỳ nhịp chu kỳ Có một chương trình nhỏ để Lệnh được thực hiện trực tiếp thông dịch lệnh ngay bởi phần cứng Chương trình thông dịch lệnh Chương trình biên dịch mã Hình 3‐3: Thực hiện phép chia phức tạp nguồn phức tạp Không hỗ trợ cơ chế pipeline Hỗ trợ cơ chế pipeline 3.3 Tập lệnh Kích thước mã chương trình nhỏ Kích thước mã chương trình lớn gọn 3.3.1 Cấu trúc tập lệnh CISC và RISC Hầu hết các vi điều khiển và VXL nhúng có cấu trúc được phát triển dựa theo kiến trúc máy tính tập lệnh phức hợp CISC (Complex Instruction Set Computer). CISC là một cấu trúc xử lý các lệnh phức hợp, tức là một lệnh phức hợp sẽ bao gồm một vài lệnh đơn. Theo nguyên lý này có thể giảm bớt được thời gian dùng để truy nhập và đọc mã chương trình từ bộ nhớ. Điều này rất có ý nghĩa với các kiến trúc thiết kế xử lý tính toán theo kiểu tuần tự. Lý do cho sự ra đời của tập lệnh phức hợp nhằm giảm thiểu dung lượng bộ nhớ cần thiết để lưu giữ chương trình thực hiện, và sẽ giảm được giá thành về 55 56
  29. 3.3.2 Định dạng lệnh gọi là địa chỉ hiệu dụng của toán tử và thường là động. Chúng ta sẽ xét một số loại hình đánh địa chỉ cơ bản hiện đang được sử dụng rộng rãi trong cơ chế thực hiện lệnh. ˆ Đánh địa chỉ tức thì (Immediate Addressing) Phương pháp này cho phép truyền giá trị toán tử lệnh một cách tức thì như một phần của câu lệnh được thực thi. Ví dụ nếu sử dụng kiểu đánh địa chỉ tức thời cho câu lệnh Load 0x0008 thì giá trị 0x0008 sẽ được nạp ngay vào AC. Trường bit thường dùng để chứa toán tử lệnh sẽ chứa giá trị thực của toán tử chứ không phải địa chỉ của toán tử cần truyền cho lệnh thực thi. Kiểu địa chỉ tức thời cho phép thực thi lệnh rất nhanh vì không phải thực hiện truy xuất bộ nhớ để nạp giá trị toán tử mà giá trị toán tử đã được gộp như một phần trong câu lệnh và có thể thực thi ngay. Vì toán tử tham gia như một phần cố định của chương trình vì vậy kiểu đánh địa chỉ này chỉ phù hợp với các toán tử hằng và biết trước tại thời điểm thực hiện chương trình, hay đã xác định tại thời điểm biên dịch chương trình. ˆ Đánh địa chỉ trực tiếp (Direct Addressing) Phương pháp này cho phép truyền toán tử lệnh thông qua địa chỉ trực tiếp chứa toán tử đó trong bộ nhớ. Ví dụ nếu sử dụng cơ chế đánh địa chỉ toán tử trực tiếp thì trong câu Hình 3‐4: Định dạng lệnh MIPS lệnh Load 0x0008 sẽ được hiểu là dữ liệu hay toán tử được nạp trong câu lệnh này nằm trong bộ nhớ tại địa chỉ 0x0008. Cơ chế đánh địa chỉ trực tiếp cũng thuộc loại hình khá nhanh mặc dù không nhanh được như cơ chế truyền địa chỉ tức thời nhưng độ mềm dẻo cao hơn vì địa chỉ của toán tử không nằm trong phần mã lệnh và giá trị có thể thay đổi trong quá trình thực thi chương trình. ˆ Đánh địa chỉ thanh ghi (Register Addressing) Trong cách đánh địa chỉ và truyền toán tử này thì toán tử không nằm trong bộ nhớ như trường hợp đánh địa chỉ trực tiếp mà nằm tại chính trong thanh ghi. Khi toán tử đã được nạp vào thanh ghi thì việc thực hiện có thể rất nhanh vì tốc độ truy xuất thanh ghi nhanh hơn so với bộ nhớ. Nhưng số lượng thanh ghi chỉ có hạn và phải được chia sẻ trong quá trình thực hiện chương trình vì vậy các toán tử phải được nạp vào thanh ghi trước khi nó được thực thi. ˆ Đánh địa chỉ gián tiếp (Indirect Addressing) Trong phương pháp truyền toán tử này, trường toán tử trong câu lệnh được sử dụng để tham chiếu tới một con trỏ nằm trong bộ nhớ để trỏ tới địa chỉ hiệu dụng của toán tử. Cơ chế truyền này có thể nói là mềm dẻo nhất so với các cơ chế truyền địa chỉ khác Hình 3‐5: Phân loại các phép thực thi lệnh trong quá trình thực thi chương trình. Ví dụ nếu áp dụng cơ chế truyền địa chỉ gián tiếp trong câu lệnh Load 0x0008 thì sẽ được hiểu là giá trị dữ liệu có địa chỉ tại 0x0008 thực 3.3.3 Các kiểu truyền địa chỉ toán tử lệnh chất là chứa địa chỉ hiệu dụng của toán tử cần truyền cho câu lệnh. Giả thiết tại vị trí ô Các kiểu đánh/truyền địa chỉ cho phép chúng ta chỉ ra/truyền toán tử tham gia trong nhớ 0x0008 đang chứa giá trị 0x02A0 thì 0x02A0 chính là giá trị thực của toán tử sẽ các lệnh thực thi. Kiểu địa chỉ có thể chỉ ra là một hằng số, môt thanh ghi hoặc một khu được nạp vào AC. Một biến thể khác cũng có thể thực hiện theo cơ chế này là truyền vực cụ thể trong bộ nhớ. Một số kiểu đánh địa chỉ cho phép sử dụng địa chỉ ngắn và tham chiếu tới con trỏ nằm trong khu vực thanh ghi. Cơ chế này còn được biết tới với một số loại thì cho phép chúng ta xác định khu vực chứa toán tử lệnh, và thường được tên gọi là đánh địa chỉ gián tiếp thanh ghi. Ví dụ một câu lệnh Load R1 sử dụng cơ chế 57 58
  30. truyền địa chỉ gián tiếp thanh ghi thì chúng ta có thể dễ dàng thông dịch được toán tử 3.3.4 Nguyên lý thực hiện pipeline truyền trong câu lệnh này có địa chỉ hiệu dụng nằm trong thanh ghi R1. Vi xử lý có thể thực thi các lệnh với một tốc độ rất nhanh. RISC sử dụng kỹ thuật pipeline để tăng cường tốc độ xử lý các lệnh đồng thời nhờ vào khả năng thực hiện xếp ˆ Cách đánh địa chỉ cơ sở và chỉ số (Indexed and Based Addressing) chồng cuốn chiếu liên tục các lệnh theo các phân đoạn thực hiện lệnh. Ví dụ một lệnh có Trong cơ chế này người ta sử dụng một thanh ghi để chứa offset (độ chênh lệch tương thể được đọc từ bộ nhớ trong khi một lệnh khác đang được giải mã để chuẩn bị đưa vào đối) mà sẽ được cộng với toán tử để tạo ra một địa chỉ hiệu dụng. Ví dụ, nếu toán tử X xử lý và một lệnh khác thì đang được thực hiện. Cũng có một số VĐK có tên gọi là máy của lệnh Load X được đánh địa chỉ theo cơ chế địa chỉ chỉ số và thanh ghi R1 là thanh tính tập lệnh đặc biệt SISC (Specific Instruction Set Computer) vì chúng được phát triển ghi chứa chỉ số và có giá trị là 1 thì địa chỉ hiệu dụng của toán tử thực chất sẽ là X+1. Cơ dựa trên tập lệnh được thiết kế đặc chủng cho mục đích điều khiển. chế đánh địa chỉ cơ sở cũng giống như vậy ngoại trừ một điều là thay vì sử dụng thanh ghi địa chỉ offset thì ở đây sử dụng thanh ghi địa chỉ cơ sở. Về mặt lý thuyết sự khác nhau giữa hai cơ chế tham chiếu địa chỉ này là chúng được sử dụng thế nào chứ không phải các toán tử được tính toán thể nào. Một thanh ghi chỉ số sẽ lưu chỉ số mà sẽ được sử dụng như một offset so với địa chỉ được đưa ra trong trường địa chỉ của lệnh thực thi. Thanh ghi cơ sở lưu một địa chỉ cơ sở và trường địa chỉ trong câu lệnh thực thi sẽ lưu giá trị dịch chuyển từ địa chỉ này. Hai cơ chế tham chiếu địa chỉ này rất hữu ích trong việc truy xuất với các phần tử kiểu mảng. Tuỳ thuộc vào thiết kế tập lệnh các thanh ghi mục đích chung thường hay được sử dụng trong cơ chế đánh địa chỉ này. ˆ Đánh địa chỉ ngăn xếp (Stack Addressing) Hình 3‐6: Nguyên lý thực hiện pipeline Trong cơ chế truyền địa chỉ này thì toán tử nhận được từ đỉnh ngăn xếp. Thay vì sử Pipeline được thực hiện dựa trên nguyên lý xếp chồng cuốn chiếu các phân đoạn trong dụng thanh ghi mục đích chung hay ô nhớ kiến trúc dựa trên ngăn xếp lưu các toán tử mỗi một lệnh. Thông thường mỗi một lệnh được chia ra làm nhiều phân đoạn thực trên đỉnh của ngăn xếp, và có thể truy xuất với CPU. Kiến trúc này không chỉ hiệu quả hiện, phổ biến hiện nay là 5 phân đoạn tuần tự như sau: trong việc lưu giữ các giá trị trung gian trong các phép tính phức tạp mà còn cung cấp (1) Trỏ lệnh (Instruction Fetch): Thực hiện trỏ tới lệnh thực hiện bằng cách đọc địa một phương pháp hiệu quả trong việc truyền các tham số trong các lời gọi hàm cũng chỉ lệnh từ thanh ghi con trỏ lệnh (PC), đọc lệnh đó ra từ bộ nhớ chương trình và như để lưu cất các cấu trúc dữ liệu cục bộ và định nghĩa ra phạm vi tồn tại của các biến tính toán rồi nạp giá trị mới vào trong thanh ghi con trỏ lệnh để trỏ tới lệnh sẽ và các hàm con. Trong các cấu trúc lệnh truyền toán tử dựa trên ngăn xếp, hầu hết các thực thi tiếp theo. lệnh chỉ bao gồm phần mã, tuy nhiên cũng có một số lệnh đặc biệt chỉ có một toán tử ví (2) Giải mã lệnh (Decode): Thực hiện thông dịch và chuyển đổi mã lệnh thành dạng dụ như lệnh cất vào (push) hoặc lấy ra (pop) từ ngăn xếp. Chỉ có một số lệnh yêu cầu hai mã để ALU có thể hiểu và chuẩn bị thực thi. Quá trình này thực chất là quá trình toán tử thì hai giá trị chứa trong 2 ô nhớ trên đỉnh ngăn xếp sẽ được sử dụng. Ví dụ đọc và chuyển đổi nội dung trong các thanh ghi chương trình. như lệnh Add, CPU lấy ra khỏi ngăn xếp hai phần tử nằm trên đỉnh rồi thực hiện phép (3) Thực hiện lệnh (Execute): ALU thực thi lệnh vừa được giải mã. cộng và sau đó lưu kết quả trở lại đỉnh ngăn xếp. (4) Truy nhập bộ nhớ dữ liệu (Memory): Đọc ra hoặc viết vào bộ nhớ dữ liệu nếu lệnh thực hiện có nhu cầu này. ˆ Các cách đánh địa chỉ khác (5) Viết trở lại (Write back): Hoàn thành và cập nhật nội dung các thanh ghi. Có rất nhiều biến thể tạo bởi các cơ chế đánh địa chỉ giới thiệu ở trên. Đó là sự tổ hợp trong việc tạo ra hoặc xác định địa chỉ hiệu dụng của toán tử truyền cho lệnh thực thi. Chúng ta cần phân biệt cơ chế pipeline và cơ chế thực hiện song song mặc dù cả hai đều Ví dụ như cơ chế đánh địa chỉ chỉ số gián tiếp sử dụng đồng thời cả hai cơ chế đánh địa nhằm đáp ứng yêu cầu thực thi cạnh tranh và tăng tốc độ thực thi. Cơ chế pipeline giải chỉ đồng thời, tương tự như vậy cũng có cơ chế đánh địa chỉ cơ sở/offset Cũng có một quyết vần đề cạnh tranh và tăng tốc độ thực hiện bằng cách chia nhỏ tính toán thành số cơ chế tự động tăng hoặc giảm thanh ghi sử dụng trong lệnh đang thực thi nhờ vậy các bước nhỏ trong khi đó cơ chế song song sẽ sử dụng nhiều nguồn tài nguyên độc lập mà có thể giảm được độ lớn của mã chương trình đặc biệt phù hợp cho các ứng dụng để thực hiện. Nhúng. 59 60
  31. thực thi chương trình. Việc phát hiện và tránh được hiện tượng hazard là cần thiết để đảm bảo chương trình được thực thi đúng. Tuỳ theo nguyên nhân gây ra hazard người ta phân ra 3 loại hình chính tuỳ thuộc vào thứ tự đọc hoặc viết truy nhập lệnh của các nhóm lệnh phụ thuộc nhau trong cơ chế thực hiện song song. Xét hai lệnh i và j trong đó lệnh i được thực hiện trước lệnh j trong chương trình. Hiện tượng Hazard dữ liệu có thể xảy ra như sau: ‐ RAW (read after write): Đọc sau khi viết Khi lệnh i và j đều cần sử dụng và trao đổi thông tin với cùng một giá trị ô nhớ, trong đó lệnh i cần phải thực hiện xong và cập nhật giá trị vào ô nhớ đó rồi lệnh j mới có thể đọc và sử dụng. Nếu lệnh i chưa thực hiện xong mà lệnh j đã đọc giá trị ô nhớ đó thì sẽ xảy ra hiện tượng được gọi là hazard dữ liệu. Lệnh j đọc thông tin từ một ô nhớ trước khi lệnh i kịp viết vào vì vậy lệnh j sẽ chỉ đọc được giá trị cũ chứ không phải giá trị mới cần phải sử dụng. Trong cơ chế thực hiện pipeline 5 phân đoạn sẽ gặp phải hiện tượng hzard dữ liệu khi có một lệnh nạp (load) theo sau một lệnh ALU số nguyên và sử dụng trực tiếp kết quả nạp. ‐ WAW (write after write): Viết sau khi viết Lệnh j viết vào một toán tử trước khi lệnh i viết vào. Mà yêu cầu thực thi đúng chương trình là lệnh i phải viết trước lệnh j và giá trị cuối cùng lưu trong toán tử phải do lệnh j đưa ra chứ không phải lệnh i. Hiện tượng này được gọi là hazard dữ liệu khi có sự phụ thuộc đầu ra và nhiều lệnh cùng có nhu cầu truy nhập viết vào cùng một biến hay một ô nhớ. ‐ WAR (write after read): Viết sau khi đọc j viết vào toán tử đích trước khi nó được đọc bởi lệnh i do đó lệnh I sẽ nhận được giá trị sai. Hiện tượng Hazard này xuất hiện khi có sự phụ thuộc toán hạng trong các phép tính ƒ Hazard do sự phụ thuộc điều khiển Kiểu phụ thuộc cũng khá phổ biến là do cấu trúc điều khiển. Sự phụ thuộc điều khiển được quyết định trình tự thực thi của một lệnh i theo lệnh rẽ nhánh đảm bảo sao cho nó được thực thi đúng như thứ tự mong muốn. Tất cả các lệnh ngoại trừ khối cơ bản đầu Hình 3‐7: Quá trình thực hiện lệnh theo nguyên lý pipeline tiên của chương trình đều được điều khiển theo cấu trúc lệnh rẽ nhánh và phải được 3.3.5 Harzard đảm bảo để thực thi đúng theo thứ tự. Một ví dụ đơn giản nhất về sự phụ thuộc điều khiển là sự phụ thuộc điều khiển theo cấu trúc if then Phần thực thi trong phần Trong cơ chế thực hiện lệnh pipeline thể hiện rõ được ưu điểm trong việc thúc đẩy hiệu “then” sẽ phụ thuộc câu lệnh điều kiện if. Ví dụ đoạn mã chương trình minh họa như suất thực hiện lệnh, tuy nhiên có thể xảy ra hiện tượng thực thi sai do sự thiếu đồng bộ sau: và phụ thuộc lẫn nhau giữa các lệnh trong nhóm thực thi pipeline. if (p1) { ƒ Hazard dữ liệu S1; Hiện tượng harzard xảy ra khi có sự phụ thuộc lẫn giữa các lệnh nằm trong khoảng xếp } chồng thực hiện cuốn chiếu theo nguyên lý pipeline. Điều này có thể dễ dàng hình dung if (p2) { khi hai hoặc nhiều lệnh thực hiện xếp chồng khi có nhu cầu đọc giá trị của cùng một S2; toán tử. Do sự phụ thuộc như vậy nên khi viết chương trình chúng ta phải kiểm soát } được thứ tự chương trình mà các lệnh sẽ được thực hiện như thế nào. Mục đích của việc thực thi là làm sao để hỗ trợ được cơ chế thực hiện song song và tăng được hiệu suất 61 62